{{{ -- Multiplexeur 4 bits ENTITY mux IS PORT ( cmd : IN BIT; i0 : IN BIT_VECTOR(3 downto 0); i1 : IN BIT_VECTOR(3 downto 0); q : OUT BIT_VECTOR(3 downto 0); vdd : IN bit; vss : IN bit); END mux; ARCHITECTURE vbe OF mux IS BEGIN WITH cmd SELECT q <= i0 WHEN "0", i1 WHEN "1"; END; }}}