source: trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Branch_Target_Buffer/Branch_Target_Buffer_Register/src @ 132

Name Size Rev Age Author Last Change
../
Branch_Target_Buffer_Register.cpp 7.3 KB 132   15 years rosiere 1) add constant method - compatibility with SystemC 2) add Script to …
Branch_Target_Buffer_Register_allocation.cpp 7.0 KB 112   15 years rosiere 1) Stat_list : fix retire old and new register bug 2) Stat_list : …
Branch_Target_Buffer_Register_constant.cpp 1.5 KB 131   15 years rosiere 1) add constant method 2) test with systemc 2.2.0
Branch_Target_Buffer_Register_deallocation.cpp 5.0 KB 112   15 years rosiere 1) Stat_list : fix retire old and new register bug 2) Stat_list : …
Branch_Target_Buffer_Register_end_cycle.cpp 1.3 KB 88   16 years rosiere Almost complete design with Test and test platform
Branch_Target_Buffer_Register_genMealy_decod.cpp 2.2 KB 123   15 years rosiere 1) Fix performance 2) add auto generation to SPECINT2000 3) add reset …
Branch_Target_Buffer_Register_genMealy_predict.cpp 3.4 KB 123   15 years rosiere 1) Fix performance 2) add auto generation to SPECINT2000 3) add reset …
Branch_Target_Buffer_Register_genMealy_update.cpp 2.2 KB 123   15 years rosiere 1) Fix performance 2) add auto generation to SPECINT2000 3) add reset …
Branch_Target_Buffer_Register_statistics_allocation.cpp 1.2 KB 81   16 years rosiere - Finish Environment (and test) - Continue predictor_unit - Add …
Branch_Target_Buffer_Register_statistics_deallocation.cpp 1.1 KB 81   16 years rosiere - Finish Environment (and test) - Continue predictor_unit - Add …
Branch_Target_Buffer_Register_transition.cpp 9.6 KB 128   15 years rosiere 1) Correct bug in link two signal 2) Fix error detected with valgrind …
Branch_Target_Buffer_Register_vhdl.cpp 1.2 KB 81   16 years rosiere - Finish Environment (and test) - Continue predictor_unit - Add …
Branch_Target_Buffer_Register_vhdl_body.cpp 1.0 KB 81   16 years rosiere - Finish Environment (and test) - Continue predictor_unit - Add …
Branch_Target_Buffer_Register_vhdl_declaration.cpp 1.0 KB 81   16 years rosiere - Finish Environment (and test) - Continue predictor_unit - Add …
Parameters.cpp 4.5 KB 98   16 years rosiere 1) Fix bug (read unit, RAT -> write in R0, SPR desallocation ...) 2) …
Parameters_msg_error.cpp 1.8 KB 88   16 years rosiere Almost complete design with Test and test platform
Parameters_print.cpp 2.9 KB 88   16 years rosiere Almost complete design with Test and test platform
Note: See TracBrowser for help on using the repository browser.