source: trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Update_Prediction_Table/src/Update_Prediction_Table_genMoore.cpp @ 95

Last change on this file since 95 was 95, checked in by rosiere, 16 years ago

1) Update Prediction Table - New architecture (systemC) done (and tested) -> need change interface in top level
2) Change documentation on VHDL generation
3) Change VHDL constant (case std_logic and std_logic_vector)

File size: 13.8 KB
Line 
1#ifdef SYSTEMC
2/*
3 * $Id$
4 *
5 * [ Description ]
6 *
7 */
8
9#include "Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Update_Prediction_Table/include/Update_Prediction_Table.h"
10
11namespace morpheo                    {
12namespace behavioural {
13namespace core {
14namespace multi_front_end {
15namespace front_end {
16namespace prediction_unit {
17namespace update_prediction_table {
18
19
20#undef  FUNCTION
21#define FUNCTION "Update_Prediction_Table::genMoore"
22  void Update_Prediction_Table::genMoore (void)
23  {
24    log_begin(Update_Prediction_Table,FUNCTION);
25    log_function(Update_Prediction_Table,FUNCTION,_name.c_str());
26
27    if (PORT_READ(in_NRESET) == 1)
28      {
29
30    // ===================================================================
31    // =====[ DEPTH ]=====================================================
32    // ===================================================================
33
34    for (uint32_t i=0; i<_param->_nb_context; i++)
35      {
36        if (_param->_have_port_depth)
37          {
38        PORT_WRITE(out_DEPTH_CURRENT [i], reg_UPT_TOP    [i]);
39        PORT_WRITE(out_DEPTH_MIN     [i], reg_UPT_BOTTOM [i]);
40          }
41        PORT_WRITE(out_DEPTH_MAX     [i], reg_UPT_TOP    [i]);
42      }
43
44    // ===================================================================
45    // =====[ UPDATE ]====================================================
46    // ===================================================================
47
48    bool     retire_ras_from_ufpt [_param->_nb_context]; // event ufpt -> restore RAS, else update upt
49    bool     retire_ras_from_upt  [_param->_nb_context]; // event upt  -> restore RAS, else restore others structure
50    bool     ufpt_update          [_param->_nb_context];
51    bool     upt_update           [_param->_nb_context];
52    Tdepth_t tab_ufpt_depth       [_param->_nb_context];
53    Tdepth_t tab_upt_depth        [_param->_nb_context];
54
55    for (uint32_t i=0; i<_param->_nb_context; i++)
56      {
57        event_state_t event_state = reg_EVENT_STATE [i];
58
59        retire_ras_from_ufpt [i] = ((event_state == EVENT_STATE_FLUSH_UFPT            ) or
60                                    (event_state == EVENT_STATE_FLUSH_UFPT_AND_UPT));
61        retire_ras_from_upt  [i] = (event_state == EVENT_STATE_FLUSH_UPT);
62
63        ufpt_update          [i] = true;
64        upt_update           [i] = true;
65        tab_ufpt_depth       [i] = reg_UFPT_UPDATE [i];
66        tab_upt_depth        [i] = reg_UPT_UPDATE  [i];
67      }
68
69    for (uint32_t i=0; i<_param->_nb_inst_update; i++)
70      {
71        Tcontext_t          context     = (reg_UPDATE_PRIORITY+i)%_param->_nb_context;
72
73        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"  * UPDATE [%d] (genMoore)",i);
74        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * context         : %d",context);
75        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * event_state     : %s",toString(reg_EVENT_STATE [context]).c_str());
76
77        Tcontrol_t          val                  = false;
78        Tcontrol_t          val_without_ack      = false;
79        Tcontrol_t          miss_prediction      ;
80        Tcontrol_t          direction_good       ;
81        Tcontrol_t          btb_val              ;
82        Taddress_t          btb_address_src      ;
83        Taddress_t          btb_address_dest     ;
84        Tbranch_condition_t btb_condition        ;
85        Tcontrol_t          dir_val              ;
86        Thistory_t          dir_history          ;
87        Tcontrol_t          ras_val              ;
88        Tcontrol_t          ras_flush            ;
89        Tcontrol_t          ras_push             ;
90        Taddress_t          ras_address          ;
91        Tptr_t              ras_index            ;
92        Tcontrol_t          ras_prediction_ifetch;
93
94        // Test if update fetch prediction table need update port
95        if (retire_ras_from_ufpt [context])
96          {
97            if (ufpt_update [context])
98              {
99                // Update Fetch Prediction Table
100                // An update of ufpt is to previous miss. Just restore Return Address Stack
101               
102                Tdepth_t            depth     = tab_ufpt_depth[context];
103                ufpt_state_t        state     = reg_UPDATE_FETCH_PREDICTION_TABLE [context][depth]._state; 
104                Tbranch_condition_t condition = reg_UPDATE_FETCH_PREDICTION_TABLE [context][depth]._condition; 
105               
106                log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * Update Fetch Prediction Table");
107                log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * depth           : %d",depth    );
108                log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * state           : %s",toString(state    ).c_str());
109                log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * condition       : %s",toString(condition).c_str());
110               
111                val                   = (state == UPDATE_FETCH_PREDICTION_STATE_EVENT);
112//              val_without_ack       = not update_ras(condition);
113
114                miss_prediction       = 1;
115//              direction_good        = ;
116                btb_val               = 0; // don't update btb (is update by the event branch)
117//              btb_address_src       = ;
118//              btb_address_dest      = ;
119//              btb_condition         = ;
120                dir_val               = 0; // don't update btb (is update by the event branch (if conditionnal branch))
121//              dir_history           = ;
122                ras_val               = update_ras(condition); // repop/ repush data -> don't corrupt ras
123                ras_flush             = 0;
124                ras_push              = push_ras(condition);
125                ras_address           = reg_UPDATE_FETCH_PREDICTION_TABLE [context][depth]._address_ras;
126                ras_index             = reg_UPDATE_FETCH_PREDICTION_TABLE [context][depth]._index_ras;
127                ras_prediction_ifetch = 1;
128               
129                internal_UPDATE_FROM_UFPT [i] = true;
130                internal_UPDATE_DEPTH     [i] = depth;
131                internal_UPDATE_RAS       [i] = false;
132
133                // Warning : don't update same entry
134                if (depth == reg_UFPT_BOTTOM[context])
135                  ufpt_update [context] = false;
136               
137                tab_ufpt_depth[context] = ((depth==0)?_param->_size_ufpt_queue[context]:depth)-1;
138              }
139          }
140        else
141          {
142            if (upt_update [context])
143              {
144                // Update Prediction Table
145               
146                Tdepth_t            depth     = tab_upt_depth[context];
147                upt_state_t         state     = reg_UPDATE_PREDICTION_TABLE [context][depth]._state; 
148                Tbranch_condition_t condition = reg_UPDATE_PREDICTION_TABLE [context][depth]._condition; 
149                Tcontrol_t          ifetch    = reg_UPDATE_PREDICTION_TABLE [context][depth]._ifetch_prediction;
150               
151                log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * Update Prediction Table");
152                log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * depth           : %d",depth    );
153                log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * state           : %s",toString(state    ).c_str());
154                log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * condition       : %s",toString(condition).c_str());
155             
156                Tcontrol_t          state_is_ok_ko           = ((state == UPDATE_PREDICTION_STATE_OK   ) or
157                                                                (state == UPDATE_PREDICTION_STATE_KO   ));
158                Tcontrol_t          state_is_event           = ((state == UPDATE_PREDICTION_STATE_KO   ) or
159                                                                (state == UPDATE_PREDICTION_STATE_EVENT));
160                Tcontrol_t          state_is_event_update    = state_is_event and     need_update(condition);
161                Tcontrol_t          state_is_event_no_update = state_is_event and not need_update(condition);
162
163                if (retire_ras_from_upt [context])
164                  {
165                val                   = state_is_event_update;
166                val_without_ack       = state_is_event_no_update;
167                  }
168                else
169                  {
170                val                   = (state == UPDATE_PREDICTION_STATE_OK);
171                val_without_ack       = false;
172                  }                 
173
174                miss_prediction       = (state != UPDATE_PREDICTION_STATE_OK);
175                direction_good        = reg_UPDATE_PREDICTION_TABLE [context][depth]._good_take   ;
176                btb_val               = state_is_ok_ko and update_btb(condition);
177                btb_address_src       = reg_UPDATE_PREDICTION_TABLE [context][depth]._address_src ;
178                btb_address_dest      = reg_UPDATE_PREDICTION_TABLE [context][depth]._address_dest;
179                btb_condition         = condition;
180                dir_val               = state_is_ok_ko and update_dir(condition) and ifetch; // if not ifetch, then static prediction
181                dir_history           = reg_UPDATE_PREDICTION_TABLE [context][depth]._history     ;
182                ras_val               = update_ras(condition); // repop/ repush data -> don't corrupt ras
183                ras_flush             = (state == UPDATE_PREDICTION_STATE_KO); // miss prediction, RAS is corrupted
184                ras_push              = push_ras(condition);
185                ras_address           = reg_UPDATE_PREDICTION_TABLE [context][depth]._address_ras;
186                ras_index             = reg_UPDATE_PREDICTION_TABLE [context][depth]._index_ras;
187                ras_prediction_ifetch = ifetch;
188
189                internal_UPDATE_FROM_UFPT [i] = false;
190                internal_UPDATE_DEPTH     [i] = depth;
191                internal_UPDATE_RAS       [i] = retire_ras_from_upt [context];
192
193                // Warning : don't update same entry
194                if (retire_ras_from_upt [context])
195                  {
196                    // Restore RAS.
197                    if ((depth == reg_UPT_BOTTOM[context]) or not (val or val_without_ack))
198                      upt_update [context] = false;
199                   
200                    tab_upt_depth[context] = (depth==0)?(_param->_size_upt_queue[context]-1):(depth-1);
201                  }
202                else
203                  {
204                    if ((depth == reg_UPT_TOP [context]) or not (val or val_without_ack))
205                      upt_update [context] = false;
206                   
207                    tab_upt_depth[context] = (depth+1)%_param->_size_upt_queue[context];
208                  }
209              }
210          }
211       
212        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * val             : %d",val    );
213        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * val_without_ack : %d",val_without_ack);
214        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * miss_prediction : %d",miss_prediction);
215        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * direction_good  : %d",direction_good );
216        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * btb_val         : %d",btb_val);
217        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * dir_val         : %d",dir_val);
218        log_printf(TRACE,Update_Prediction_Table,FUNCTION,"    * ras_val         : %d",ras_val);
219
220        internal_UPDATE_VAL             [i] = val;
221        internal_UPDATE_VAL_WITHOUT_ACK [i] = val_without_ack;
222        internal_UPDATE_CONTEXT_ID      [i] = context;
223
224        PORT_WRITE(out_UPDATE_VAL                   [i],internal_UPDATE_VAL [i]);
225        if (val)
226          {
227        if (_param->_have_port_context_id)
228        PORT_WRITE(out_UPDATE_CONTEXT_ID            [i],context              );
229        PORT_WRITE(out_UPDATE_MISS_PREDICTION       [i],miss_prediction      );
230        PORT_WRITE(out_UPDATE_DIRECTION_GOOD        [i],direction_good       );
231        PORT_WRITE(out_UPDATE_BTB_VAL               [i],btb_val              );
232        PORT_WRITE(out_UPDATE_BTB_ADDRESS_SRC       [i],btb_address_src      );
233        PORT_WRITE(out_UPDATE_BTB_ADDRESS_DEST      [i],btb_address_dest     );
234        PORT_WRITE(out_UPDATE_BTB_CONDITION         [i],btb_condition        );
235        PORT_WRITE(out_UPDATE_DIR_VAL               [i],dir_val              );
236        if (_param->_have_port_history)
237        PORT_WRITE(out_UPDATE_DIR_HISTORY           [i],dir_history          );
238        PORT_WRITE(out_UPDATE_RAS_VAL               [i],ras_val              );
239        PORT_WRITE(out_UPDATE_RAS_FLUSH             [i],ras_flush            );
240        PORT_WRITE(out_UPDATE_RAS_PUSH              [i],ras_push             );
241        PORT_WRITE(out_UPDATE_RAS_ADDRESS           [i],ras_address          );
242        PORT_WRITE(out_UPDATE_RAS_INDEX             [i],ras_index            );
243        PORT_WRITE(out_UPDATE_RAS_PREDICTION_IFETCH [i],ras_prediction_ifetch);
244          }
245      }
246   
247    // ===================================================================
248    // =====[ BRANCH_EVENT ]==============================================
249    // ===================================================================
250    for (uint32_t i=0; i<_param->_nb_context; i++)
251      {
252        Tcontrol_t val = (reg_EVENT_STATE [i] == EVENT_STATE_UPDATE_CONTEXT);
253
254        PORT_WRITE(out_BRANCH_EVENT_VAL              [i],val);
255        PORT_WRITE(out_BRANCH_EVENT_ADDRESS_SRC      [i],reg_EVENT_ADDRESS_SRC      [i]);
256        PORT_WRITE(out_BRANCH_EVENT_ADDRESS_DEST_VAL [i],reg_EVENT_ADDRESS_DEST_VAL [i]);
257        PORT_WRITE(out_BRANCH_EVENT_ADDRESS_DEST     [i],reg_EVENT_ADDRESS_DEST     [i]);
258
259        internal_BRANCH_EVENT_VAL [i] = val;
260      }
261      }
262
263    log_end(Update_Prediction_Table,FUNCTION);
264  };
265
266}; // end namespace update_prediction_table
267}; // end namespace prediction_unit
268}; // end namespace front_end
269}; // end namespace multi_front_end
270}; // end namespace core
271
272}; // end namespace behavioural
273}; // end namespace morpheo             
274#endif
Note: See TracBrowser for help on using the repository browser.