source: trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_vhdl_testbench_port.cpp @ 15

Last change on this file since 15 was 15, checked in by rosiere, 17 years ago

Interface normalisé
Début du banc de registres multi niveaux

File size: 1.5 KB
Line 
1#ifdef VHDL_TESTBENCH
2/*
3 * $Id$
4 *
5 * [ Description ]
6 *
7 */
8
9#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/RegisterFile_Monolithic.h"
10
11namespace morpheo                    {
12namespace behavioural                {
13namespace generic                    {
14namespace registerfile               {
15namespace registerfile_monolithic    {
16
17  void RegisterFile_Monolithic::vhdl_testbench_port (Vhdl_Testbench & vhdl_testbench)
18  {
19    vhdl_testbench.set_port (" in_NRESET",IN ,1);
20
21    for (uint32_t i = 0; i < _param._nb_port_read; i ++)
22      {
23        vhdl_testbench.set_port (" in_READ_VAL_"+toString(i)+"    ",IN ,1);
24        vhdl_testbench.set_port ("out_READ_ACK_"+toString(i)+"    ",OUT,1);
25        vhdl_testbench.set_port (" in_READ_ADDRESS_"+toString(i)+"",IN ,static_cast<uint32_t>(log2(_param._nb_word)));
26        vhdl_testbench.set_port ("out_READ_DATA_"+toString(i)+"   ",OUT,_param._size_word);
27      }
28
29    for (uint32_t i = 0; i < _param._nb_port_write; i ++)
30      {
31        vhdl_testbench.set_port (" in_WRITE_VAL_"+toString(i)+"    ",IN ,1);
32        vhdl_testbench.set_port ("out_WRITE_ACK_"+toString(i)+"    ",OUT,1);
33        vhdl_testbench.set_port (" in_WRITE_ADDRESS_"+toString(i)+"",IN ,static_cast<uint32_t>(log2(_param._nb_word)));
34        vhdl_testbench.set_port (" in_WRITE_DATA_"+toString(i)+"   ",IN ,_param._size_word    );
35      }
36  };
37
38}; // end namespace registerfile_monolithic
39}; // end namespace registerfile
40}; // end namespace generic
41}; // end namespace behavioural         
42}; // end namespace morpheo             
43#endif
Note: See TracBrowser for help on using the repository browser.