RegisterFile_Multi_Banked 2 8 *2 # nb_port_read 2 4 *2 # nb_port_write 64 256 *2 # nb_word 32 32 *2 # size_word 2 2 *2 # nb_bank