#ifdef VHDL /* * $Id$ * * [ Description ] * */ #include "Behavioural/include/Vhdl.h" #include using namespace std; namespace morpheo { namespace behavioural { #undef FUNCTION #define FUNCTION "Vhdl::get_library_ieee" string Vhdl::get_library_ieee (uint32_t depth) { log_printf(FUNC,Behavioural,FUNCTION,"Begin"); string tab = string(depth,'\t'); ostringstream text; text << tab << endl << tab << "library ieee;" << endl << tab << "use ieee.numeric_bit.all;" << endl << tab << "use ieee.numeric_std.all;" << endl << tab << "use ieee.std_logic_1164.all;" << endl << tab << "use ieee.std_logic_arith.all;" << endl << tab << "use ieee.std_logic_misc.all;" << endl << tab << "--use ieee.std_logic_signed.all;" << endl << tab << "use ieee.std_logic_unsigned.all;" << endl << tab << "--use ieee.std_logic_textio.all;" << endl; log_printf(FUNC,Behavioural,FUNCTION,"End"); return text.str(); }; }; // end namespace behavioural }; // end namespace morpheo #endif