#ifdef VHDL /* * $Id$ * * [ Description ] * */ #include "Behavioural/include/Vhdl.h" #include using namespace std; namespace morpheo { namespace behavioural { string Vhdl::get_type (uint32_t depth) { return get_list (_list_type, depth, ";", true); }; }; // end namespace behavioural }; // end namespace morpheo #endif