source: trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_library_default.cpp @ 113

Last change on this file since 113 was 113, checked in by rosiere, 15 years ago

1) Add modelsim simulation systemC
2) Modelsim cosimulation systemC / VHDL is not finish !!!! (cf execute_queue and write_unit)
3) Add multi architecture
5) Add template for comparator, multiplier and divider
6) Change Message
Warning) Various test macro have change, many selftest can't compile

  • Property svn:keywords set to Id
File size: 928 bytes
Line 
1#ifdef VHDL
2
3/*
4 * $Id: Vhdl_set_library_default.cpp 113 2009-04-14 18:39:12Z rosiere $
5 *
6 * [ Description ]
7 *
8 */
9
10#include "Behavioural/include/Vhdl.h"
11#include "Common/include/Tabulation.h"
12
13#include <sstream>
14
15namespace morpheo              {
16namespace behavioural          {
17 
18#undef  FUNCTION
19#define FUNCTION "Vhdl::set_library_default"
20  void Vhdl::set_library_default (void)
21  {
22    log_begin(Behavioural,FUNCTION);
23   
24    set_library("ieee","numeric_bit"       ,"all");
25    set_library("ieee","numeric_std"       ,"all");
26    set_library("ieee","std_logic_1164"    ,"all");
27    set_library("ieee","std_logic_arith"   ,"all");
28    set_library("ieee","std_logic_misc"    ,"all");
29//  set_library("ieee","std_logic_signed"  ,"all");
30    set_library("ieee","std_logic_unsigned","all");
31//  set_library("ieee","std_logic_textio"  ,"all");
32     
33    log_end(Behavioural,FUNCTION);
34  };
35 
36}; // end namespace behavioural         
37}; // end namespace morpheo             
38
39#endif
Note: See TracBrowser for help on using the repository browser.