source: trunk/IPs/systemC/processor/Morpheo/Documentation/doc/document-morpheo-vhdl_generation/tex/document-morpheo-vhdl_generation-fr-03_vhdl_body.tex @ 100

Last change on this file since 100 was 100, checked in by rosiere, 15 years ago

1) Bug fix (Operation, Instruction)
2) Modif Return Address Stack
3) Add Soft Test
4) Add Soc Test

  • Property svn:keywords set to Id
File size: 905 bytes
Line 
1%------------------------------------------------------------------------------
2% $Id: document-morpheo-vhdl_generation-fr-03_vhdl_body.tex 100 2009-01-08 13:06:27Z rosiere $
3%------------------------------------------------------------------------------
4
5\Section{VHDL : comportement}\label{vhdl_body}
6
7Le comportement du composant est défini dans le fichier  {\it Component\_vhdl\_body.cpp}.
8
9Il n'y a pas de fonction aidant à l'écriture du Vhdl.
10La méthode {\it set\_body} permet de définir une ligne de code VHDL. Un retour à la ligne est automatiquement inséré. Le premier argument est pour l'indentation.
11\lstparam{C++}
12\begin{lstlisting}
13void set_body (uint32_t    depth,
14               std::string text );
15void set_body (std::string text );
16\end{lstlisting}
17
18Pour les commentaires, il y a la fonction {\it set\_comment}.
19\lstparam{C++}
20\begin{lstlisting}
21void set_comment (uint32_t    depth,
22                  std::string text );
23void set_comment (std::string text );
24\end{lstlisting}
Note: See TracBrowser for help on using the repository browser.