/* * * [desc.] */ #include "Tools/Viewer/Bdd/include/BaseV.h" namespace morpheo{ namespace tools{ namespace viewer{ namespace bdd{ void BaseV::afficheBaseConsole(){ for ( QList::iterator it\ = pos->begin(); it != pos->end(); ++it) { morpheo::tools::viewer::bdd::Entity *test=(it->getEntity()); string n2=test->getName(); string n=it->getComment(); cout << n << endl ; cout << "----Entity-----------------------------------------" <getLevel()<< endl; cout << "------------------ports east-----------------------" < porteast=test->getPortEast(new Coord(50,50,50,50)); for (QList::iterator it2\ = porteast.begin(); it2 != porteast.end(); ++it2) { cout << "name : " <getName() << endl; cout << it2->getComment() << endl; cout << "x:"<get_x() << endl; cout << "y:"<get_y() << endl; cout << "direction : " <getDirection()<< endl; cout << endl; } cout << "-----------------ports west-------------------------" < portwest=test->getPortWest(new Coord(50,50,50,50)); for (QList::iterator it2\ = portwest.begin(); it2 != portwest.end(); ++it2) { cout << "name : " << it2->getName() << endl; cout << it2->getComment() << endl; cout << "x:"<get_x() << endl; cout << "y:"<get_y() << endl; cout << "direction : " <getDirection()<< endl; cout << endl; } cout << "-----------------ports south---------------------------" < portsouth=test->getPortSouth(new Coord(50,50,50,50)); for (QList::iterator it2\ = portsouth.begin(); it2 != portsouth.end(); ++it2) { cout << "name : " << it2->getName() << endl; cout << it2->getComment() << endl; cout << "x:"<get_x() << endl; cout << "y:"<get_y() << endl; cout << "direction :" << it2->getDirection()<< endl; cout << endl; } cout << "-----------------ports north---------------------------" < portnorth=test->getPortNorth(new Coord(50,50,50,50)); for (QList::iterator it2\ = portnorth.begin(); it2 != portnorth.end(); ++it2) { cout << "name : " << it2->getName() << endl; cout << it2->getComment() << endl; cout << "x:"<get_x() << endl; cout << "y:"<get_y() << endl; cout << "direction :" << it2->getDirection()<< endl; cout << endl; } if (it->getArchitecture()!=NULL){ cout << "----architecture--------------------------------------" < *c=new QList\ (*((it->getArchitecture())->getComponent()) ); for (QList::iterator it2\ = c->begin(); it2 != c->end(); ++it2) { cout << "--------component--------------------------------------" <getName() <getComment() <get_x()) << endl; cout << "y:"<<(it2->get_y()) << endl; QList *pm=new QList(*(it2->getPortMap())); for (QList::iterator it3\ = pm->begin(); it3 != pm->end(); ++it3) { cout << "------------------portmap----------------------------" <getName() <getPort() <getComment() <