Ignore:
Timestamp:
Apr 5, 2007, 4:17:30 PM (17 years ago)
Author:
rosiere
Message:

Interface normalisé
Début du banc de registres multi niveaux

Location:
trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/SelfTest
Files:
2 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/SelfTest/mkf.info

    r2 r15  
    1 
    2 # Pattern_History_Table_0
    3 target_dep      all     Pattern_History_Table_0.ngc
    4 target_dep      Pattern_History_Table_0.ngc     Pattern_History_Table_0.prj
    5 target_dep      Pattern_History_Table_0.prj     Pattern_History_Table_0_Counter_Pack.vhdl Pattern_History_Table_0_Counter.vhdl Pattern_History_Table_0_Pack.vhdl Pattern_History_Table_0_RegisterFile_Pack.vhdl Pattern_History_Table_0_RegisterFile.vhdl Pattern_History_Table_0.vhdl
    6 
    7 # Pattern_History_Table_10
    8 target_dep      all     Pattern_History_Table_10.ngc
    9 target_dep      Pattern_History_Table_10.ngc    Pattern_History_Table_10.prj
    10 target_dep      Pattern_History_Table_10.prj    Pattern_History_Table_10_Counter_Pack.vhdl Pattern_History_Table_10_Counter.vhdl Pattern_History_Table_10_Pack.vhdl Pattern_History_Table_10_RegisterFile_Pack.vhdl Pattern_History_Table_10_RegisterFile.vhdl Pattern_History_Table_10.vhdl
    11 
    12 # Pattern_History_Table_11
    13 target_dep      all     Pattern_History_Table_11.ngc
    14 target_dep      Pattern_History_Table_11.ngc    Pattern_History_Table_11.prj
    15 target_dep      Pattern_History_Table_11.prj    Pattern_History_Table_11_Counter_Pack.vhdl Pattern_History_Table_11_Counter.vhdl Pattern_History_Table_11_Pack.vhdl Pattern_History_Table_11_RegisterFile_Pack.vhdl Pattern_History_Table_11_RegisterFile.vhdl Pattern_History_Table_11.vhdl
    16 
    17 # Pattern_History_Table_12
    18 target_dep      all     Pattern_History_Table_12.ngc
    19 target_dep      Pattern_History_Table_12.ngc    Pattern_History_Table_12.prj
    20 target_dep      Pattern_History_Table_12.prj    Pattern_History_Table_12_Counter_Pack.vhdl Pattern_History_Table_12_Counter.vhdl Pattern_History_Table_12_Pack.vhdl Pattern_History_Table_12_RegisterFile_Pack.vhdl Pattern_History_Table_12_RegisterFile.vhdl Pattern_History_Table_12.vhdl
    21 
    22 # Pattern_History_Table_13
    23 target_dep      all     Pattern_History_Table_13.ngc
    24 target_dep      Pattern_History_Table_13.ngc    Pattern_History_Table_13.prj
    25 target_dep      Pattern_History_Table_13.prj    Pattern_History_Table_13_Counter_Pack.vhdl Pattern_History_Table_13_Counter.vhdl Pattern_History_Table_13_Pack.vhdl Pattern_History_Table_13_RegisterFile_Pack.vhdl Pattern_History_Table_13_RegisterFile.vhdl Pattern_History_Table_13.vhdl
    26 
    27 # Pattern_History_Table_14
    28 target_dep      all     Pattern_History_Table_14.ngc
    29 target_dep      Pattern_History_Table_14.ngc    Pattern_History_Table_14.prj
    30 target_dep      Pattern_History_Table_14.prj    Pattern_History_Table_14_Counter_Pack.vhdl Pattern_History_Table_14_Counter.vhdl Pattern_History_Table_14_Pack.vhdl Pattern_History_Table_14_RegisterFile_Pack.vhdl Pattern_History_Table_14_RegisterFile.vhdl Pattern_History_Table_14.vhdl
    31 
    32 # Pattern_History_Table_15
    33 target_dep      all     Pattern_History_Table_15.ngc
    34 target_dep      Pattern_History_Table_15.ngc    Pattern_History_Table_15.prj
    35 target_dep      Pattern_History_Table_15.prj    Pattern_History_Table_15_Counter_Pack.vhdl Pattern_History_Table_15_Counter.vhdl Pattern_History_Table_15_Pack.vhdl Pattern_History_Table_15_RegisterFile_Pack.vhdl Pattern_History_Table_15_RegisterFile.vhdl Pattern_History_Table_15.vhdl
    36 
    37 # Pattern_History_Table_16
    38 target_dep      all     Pattern_History_Table_16.ngc
    39 target_dep      Pattern_History_Table_16.ngc    Pattern_History_Table_16.prj
    40 target_dep      Pattern_History_Table_16.prj    Pattern_History_Table_16_Counter_Pack.vhdl Pattern_History_Table_16_Counter.vhdl Pattern_History_Table_16_Pack.vhdl Pattern_History_Table_16_RegisterFile_Pack.vhdl Pattern_History_Table_16_RegisterFile.vhdl Pattern_History_Table_16.vhdl
    41 
    42 # Pattern_History_Table_17
    43 target_dep      all     Pattern_History_Table_17.ngc
    44 target_dep      Pattern_History_Table_17.ngc    Pattern_History_Table_17.prj
    45 target_dep      Pattern_History_Table_17.prj    Pattern_History_Table_17_Counter_Pack.vhdl Pattern_History_Table_17_Counter.vhdl Pattern_History_Table_17_Pack.vhdl Pattern_History_Table_17_RegisterFile_Pack.vhdl Pattern_History_Table_17_RegisterFile.vhdl Pattern_History_Table_17.vhdl
    46 
    47 # Pattern_History_Table_18
    48 target_dep      all     Pattern_History_Table_18.ngc
    49 target_dep      Pattern_History_Table_18.ngc    Pattern_History_Table_18.prj
    50 target_dep      Pattern_History_Table_18.prj    Pattern_History_Table_18_Counter_Pack.vhdl Pattern_History_Table_18_Counter.vhdl Pattern_History_Table_18_Pack.vhdl Pattern_History_Table_18_RegisterFile_Pack.vhdl Pattern_History_Table_18_RegisterFile.vhdl Pattern_History_Table_18.vhdl
    51 
    52 # Pattern_History_Table_19
    53 target_dep      all     Pattern_History_Table_19.ngc
    54 target_dep      Pattern_History_Table_19.ngc    Pattern_History_Table_19.prj
    55 target_dep      Pattern_History_Table_19.prj    Pattern_History_Table_19_Counter_Pack.vhdl Pattern_History_Table_19_Counter.vhdl Pattern_History_Table_19_Pack.vhdl Pattern_History_Table_19_RegisterFile_Pack.vhdl Pattern_History_Table_19_RegisterFile.vhdl Pattern_History_Table_19.vhdl
    56 
    57 # Pattern_History_Table_1
    58 target_dep      all     Pattern_History_Table_1.ngc
    59 target_dep      Pattern_History_Table_1.ngc     Pattern_History_Table_1.prj
    60 target_dep      Pattern_History_Table_1.prj     Pattern_History_Table_10_Counter_Pack.vhdl Pattern_History_Table_10_Counter.vhdl Pattern_History_Table_10_Pack.vhdl Pattern_History_Table_10_RegisterFile_Pack.vhdl Pattern_History_Table_10_RegisterFile.vhdl Pattern_History_Table_10.vhdl Pattern_History_Table_11_Counter_Pack.vhdl Pattern_History_Table_11_Counter.vhdl Pattern_History_Table_11_Pack.vhdl Pattern_History_Table_11_RegisterFile_Pack.vhdl Pattern_History_Table_11_RegisterFile.vhdl Pattern_History_Table_11.vhdl Pattern_History_Table_12_Counter_Pack.vhdl Pattern_History_Table_12_Counter.vhdl Pattern_History_Table_12_Pack.vhdl Pattern_History_Table_12_RegisterFile_Pack.vhdl Pattern_History_Table_12_RegisterFile.vhdl Pattern_History_Table_12.vhdl Pattern_History_Table_13_Counter_Pack.vhdl Pattern_History_Table_13_Counter.vhdl Pattern_History_Table_13_Pack.vhdl Pattern_History_Table_13_RegisterFile_Pack.vhdl Pattern_History_Table_13_RegisterFile.vhdl Pattern_History_Table_13.vhdl Pattern_History_Table_14_Counter_Pack.vhdl Pattern_History_Table_14_Counter.vhdl Pattern_History_Table_14_Pack.vhdl Pattern_History_Table_14_RegisterFile_Pack.vhdl Pattern_History_Table_14_RegisterFile.vhdl Pattern_History_Table_14.vhdl Pattern_History_Table_15_Counter_Pack.vhdl Pattern_History_Table_15_Counter.vhdl Pattern_History_Table_15_Pack.vhdl Pattern_History_Table_15_RegisterFile_Pack.vhdl Pattern_History_Table_15_RegisterFile.vhdl Pattern_History_Table_15.vhdl Pattern_History_Table_16_Counter_Pack.vhdl Pattern_History_Table_16_Counter.vhdl Pattern_History_Table_16_Pack.vhdl Pattern_History_Table_16_RegisterFile_Pack.vhdl Pattern_History_Table_16_RegisterFile.vhdl Pattern_History_Table_16.vhdl Pattern_History_Table_17_Counter_Pack.vhdl Pattern_History_Table_17_Counter.vhdl Pattern_History_Table_17_Pack.vhdl Pattern_History_Table_17_RegisterFile_Pack.vhdl Pattern_History_Table_17_RegisterFile.vhdl Pattern_History_Table_17.vhdl Pattern_History_Table_18_Counter_Pack.vhdl Pattern_History_Table_18_Counter.vhdl Pattern_History_Table_18_Pack.vhdl Pattern_History_Table_18_RegisterFile_Pack.vhdl Pattern_History_Table_18_RegisterFile.vhdl Pattern_History_Table_18.vhdl Pattern_History_Table_19_Counter_Pack.vhdl Pattern_History_Table_19_Counter.vhdl Pattern_History_Table_19_Pack.vhdl Pattern_History_Table_19_RegisterFile_Pack.vhdl Pattern_History_Table_19_RegisterFile.vhdl Pattern_History_Table_19.vhdl Pattern_History_Table_1_Counter_Pack.vhdl Pattern_History_Table_1_Counter.vhdl Pattern_History_Table_1_Pack.vhdl Pattern_History_Table_1_RegisterFile_Pack.vhdl Pattern_History_Table_1_RegisterFile.vhdl Pattern_History_Table_1.vhdl
    61 
    62 # Pattern_History_Table_20
    63 target_dep      all     Pattern_History_Table_20.ngc
    64 target_dep      Pattern_History_Table_20.ngc    Pattern_History_Table_20.prj
    65 target_dep      Pattern_History_Table_20.prj    Pattern_History_Table_20_Counter_Pack.vhdl Pattern_History_Table_20_Counter.vhdl Pattern_History_Table_20_Pack.vhdl Pattern_History_Table_20_RegisterFile_Pack.vhdl Pattern_History_Table_20_RegisterFile.vhdl Pattern_History_Table_20.vhdl
    66 
    67 # Pattern_History_Table_21
    68 target_dep      all     Pattern_History_Table_21.ngc
    69 target_dep      Pattern_History_Table_21.ngc    Pattern_History_Table_21.prj
    70 target_dep      Pattern_History_Table_21.prj    Pattern_History_Table_21_Counter_Pack.vhdl Pattern_History_Table_21_Counter.vhdl Pattern_History_Table_21_Pack.vhdl Pattern_History_Table_21_RegisterFile_Pack.vhdl Pattern_History_Table_21_RegisterFile.vhdl Pattern_History_Table_21.vhdl
    71 
    72 # Pattern_History_Table_22
    73 target_dep      all     Pattern_History_Table_22.ngc
    74 target_dep      Pattern_History_Table_22.ngc    Pattern_History_Table_22.prj
    75 target_dep      Pattern_History_Table_22.prj    Pattern_History_Table_22_Counter_Pack.vhdl Pattern_History_Table_22_Counter.vhdl Pattern_History_Table_22_Pack.vhdl Pattern_History_Table_22_RegisterFile_Pack.vhdl Pattern_History_Table_22_RegisterFile.vhdl Pattern_History_Table_22.vhdl
    76 
    77 # Pattern_History_Table_23
    78 target_dep      all     Pattern_History_Table_23.ngc
    79 target_dep      Pattern_History_Table_23.ngc    Pattern_History_Table_23.prj
    80 target_dep      Pattern_History_Table_23.prj    Pattern_History_Table_23_Counter_Pack.vhdl Pattern_History_Table_23_Counter.vhdl Pattern_History_Table_23_Pack.vhdl Pattern_History_Table_23_RegisterFile_Pack.vhdl Pattern_History_Table_23_RegisterFile.vhdl Pattern_History_Table_23.vhdl
    81 
    82 # Pattern_History_Table_24
    83 target_dep      all     Pattern_History_Table_24.ngc
    84 target_dep      Pattern_History_Table_24.ngc    Pattern_History_Table_24.prj
    85 target_dep      Pattern_History_Table_24.prj    Pattern_History_Table_24_Counter_Pack.vhdl Pattern_History_Table_24_Counter.vhdl Pattern_History_Table_24_Pack.vhdl Pattern_History_Table_24_RegisterFile_Pack.vhdl Pattern_History_Table_24_RegisterFile.vhdl Pattern_History_Table_24.vhdl
    86 
    87 # Pattern_History_Table_25
    88 target_dep      all     Pattern_History_Table_25.ngc
    89 target_dep      Pattern_History_Table_25.ngc    Pattern_History_Table_25.prj
    90 target_dep      Pattern_History_Table_25.prj    Pattern_History_Table_25_Counter_Pack.vhdl Pattern_History_Table_25_Counter.vhdl Pattern_History_Table_25_Pack.vhdl Pattern_History_Table_25_RegisterFile_Pack.vhdl Pattern_History_Table_25_RegisterFile.vhdl Pattern_History_Table_25.vhdl
    91 
    92 # Pattern_History_Table_26
    93 target_dep      all     Pattern_History_Table_26.ngc
    94 target_dep      Pattern_History_Table_26.ngc    Pattern_History_Table_26.prj
    95 target_dep      Pattern_History_Table_26.prj    Pattern_History_Table_26_Counter_Pack.vhdl Pattern_History_Table_26_Counter.vhdl Pattern_History_Table_26_Pack.vhdl Pattern_History_Table_26_RegisterFile_Pack.vhdl Pattern_History_Table_26_RegisterFile.vhdl Pattern_History_Table_26.vhdl
    96 
    97 # Pattern_History_Table_27
    98 target_dep      all     Pattern_History_Table_27.ngc
    99 target_dep      Pattern_History_Table_27.ngc    Pattern_History_Table_27.prj
    100 target_dep      Pattern_History_Table_27.prj    Pattern_History_Table_27_Counter_Pack.vhdl Pattern_History_Table_27_Counter.vhdl Pattern_History_Table_27_Pack.vhdl Pattern_History_Table_27_RegisterFile_Pack.vhdl Pattern_History_Table_27_RegisterFile.vhdl Pattern_History_Table_27.vhdl
    101 
    102 # Pattern_History_Table_28
    103 target_dep      all     Pattern_History_Table_28.ngc
    104 target_dep      Pattern_History_Table_28.ngc    Pattern_History_Table_28.prj
    105 target_dep      Pattern_History_Table_28.prj    Pattern_History_Table_28_Counter_Pack.vhdl Pattern_History_Table_28_Counter.vhdl Pattern_History_Table_28_Pack.vhdl Pattern_History_Table_28_RegisterFile_Pack.vhdl Pattern_History_Table_28_RegisterFile.vhdl Pattern_History_Table_28.vhdl
    106 
    107 # Pattern_History_Table_29
    108 target_dep      all     Pattern_History_Table_29.ngc
    109 target_dep      Pattern_History_Table_29.ngc    Pattern_History_Table_29.prj
    110 target_dep      Pattern_History_Table_29.prj    Pattern_History_Table_29_Counter_Pack.vhdl Pattern_History_Table_29_Counter.vhdl Pattern_History_Table_29_Pack.vhdl Pattern_History_Table_29_RegisterFile_Pack.vhdl Pattern_History_Table_29_RegisterFile.vhdl Pattern_History_Table_29.vhdl
    111 
    112 # Pattern_History_Table_2
    113 target_dep      all     Pattern_History_Table_2.ngc
    114 target_dep      Pattern_History_Table_2.ngc     Pattern_History_Table_2.prj
    115 target_dep      Pattern_History_Table_2.prj     Pattern_History_Table_20_Counter_Pack.vhdl Pattern_History_Table_20_Counter.vhdl Pattern_History_Table_20_Pack.vhdl Pattern_History_Table_20_RegisterFile_Pack.vhdl Pattern_History_Table_20_RegisterFile.vhdl Pattern_History_Table_20.vhdl Pattern_History_Table_21_Counter_Pack.vhdl Pattern_History_Table_21_Counter.vhdl Pattern_History_Table_21_Pack.vhdl Pattern_History_Table_21_RegisterFile_Pack.vhdl Pattern_History_Table_21_RegisterFile.vhdl Pattern_History_Table_21.vhdl Pattern_History_Table_22_Counter_Pack.vhdl Pattern_History_Table_22_Counter.vhdl Pattern_History_Table_22_Pack.vhdl Pattern_History_Table_22_RegisterFile_Pack.vhdl Pattern_History_Table_22_RegisterFile.vhdl Pattern_History_Table_22.vhdl Pattern_History_Table_23_Counter_Pack.vhdl Pattern_History_Table_23_Counter.vhdl Pattern_History_Table_23_Pack.vhdl Pattern_History_Table_23_RegisterFile_Pack.vhdl Pattern_History_Table_23_RegisterFile.vhdl Pattern_History_Table_23.vhdl Pattern_History_Table_24_Counter_Pack.vhdl Pattern_History_Table_24_Counter.vhdl Pattern_History_Table_24_Pack.vhdl Pattern_History_Table_24_RegisterFile_Pack.vhdl Pattern_History_Table_24_RegisterFile.vhdl Pattern_History_Table_24.vhdl Pattern_History_Table_25_Counter_Pack.vhdl Pattern_History_Table_25_Counter.vhdl Pattern_History_Table_25_Pack.vhdl Pattern_History_Table_25_RegisterFile_Pack.vhdl Pattern_History_Table_25_RegisterFile.vhdl Pattern_History_Table_25.vhdl Pattern_History_Table_26_Counter_Pack.vhdl Pattern_History_Table_26_Counter.vhdl Pattern_History_Table_26_Pack.vhdl Pattern_History_Table_26_RegisterFile_Pack.vhdl Pattern_History_Table_26_RegisterFile.vhdl Pattern_History_Table_26.vhdl Pattern_History_Table_27_Counter_Pack.vhdl Pattern_History_Table_27_Counter.vhdl Pattern_History_Table_27_Pack.vhdl Pattern_History_Table_27_RegisterFile_Pack.vhdl Pattern_History_Table_27_RegisterFile.vhdl Pattern_History_Table_27.vhdl Pattern_History_Table_28_Counter_Pack.vhdl Pattern_History_Table_28_Counter.vhdl Pattern_History_Table_28_Pack.vhdl Pattern_History_Table_28_RegisterFile_Pack.vhdl Pattern_History_Table_28_RegisterFile.vhdl Pattern_History_Table_28.vhdl Pattern_History_Table_29_Counter_Pack.vhdl Pattern_History_Table_29_Counter.vhdl Pattern_History_Table_29_Pack.vhdl Pattern_History_Table_29_RegisterFile_Pack.vhdl Pattern_History_Table_29_RegisterFile.vhdl Pattern_History_Table_29.vhdl Pattern_History_Table_2_Counter_Pack.vhdl Pattern_History_Table_2_Counter.vhdl Pattern_History_Table_2_Pack.vhdl Pattern_History_Table_2_RegisterFile_Pack.vhdl Pattern_History_Table_2_RegisterFile.vhdl Pattern_History_Table_2.vhdl
    116 
    117 # Pattern_History_Table_30
    118 target_dep      all     Pattern_History_Table_30.ngc
    119 target_dep      Pattern_History_Table_30.ngc    Pattern_History_Table_30.prj
    120 target_dep      Pattern_History_Table_30.prj    Pattern_History_Table_30_Counter_Pack.vhdl Pattern_History_Table_30_Counter.vhdl Pattern_History_Table_30_Pack.vhdl Pattern_History_Table_30_RegisterFile_Pack.vhdl Pattern_History_Table_30_RegisterFile.vhdl Pattern_History_Table_30.vhdl
    121 
    122 # Pattern_History_Table_31
    123 target_dep      all     Pattern_History_Table_31.ngc
    124 target_dep      Pattern_History_Table_31.ngc    Pattern_History_Table_31.prj
    125 target_dep      Pattern_History_Table_31.prj    Pattern_History_Table_31_Counter_Pack.vhdl Pattern_History_Table_31_Counter.vhdl Pattern_History_Table_31_Pack.vhdl Pattern_History_Table_31_RegisterFile_Pack.vhdl Pattern_History_Table_31_RegisterFile.vhdl Pattern_History_Table_31.vhdl
    126 
    127 # Pattern_History_Table_32
    128 target_dep      all     Pattern_History_Table_32.ngc
    129 target_dep      Pattern_History_Table_32.ngc    Pattern_History_Table_32.prj
    130 target_dep      Pattern_History_Table_32.prj    Pattern_History_Table_32_Counter_Pack.vhdl Pattern_History_Table_32_Counter.vhdl Pattern_History_Table_32_Pack.vhdl Pattern_History_Table_32_RegisterFile_Pack.vhdl Pattern_History_Table_32_RegisterFile.vhdl Pattern_History_Table_32.vhdl
    131 
    132 # Pattern_History_Table_33
    133 target_dep      all     Pattern_History_Table_33.ngc
    134 target_dep      Pattern_History_Table_33.ngc    Pattern_History_Table_33.prj
    135 target_dep      Pattern_History_Table_33.prj    Pattern_History_Table_33_Counter_Pack.vhdl Pattern_History_Table_33_Counter.vhdl Pattern_History_Table_33_Pack.vhdl Pattern_History_Table_33_RegisterFile_Pack.vhdl Pattern_History_Table_33_RegisterFile.vhdl Pattern_History_Table_33.vhdl
    136 
    137 # Pattern_History_Table_34
    138 target_dep      all     Pattern_History_Table_34.ngc
    139 target_dep      Pattern_History_Table_34.ngc    Pattern_History_Table_34.prj
    140 target_dep      Pattern_History_Table_34.prj    Pattern_History_Table_34_Counter_Pack.vhdl Pattern_History_Table_34_Counter.vhdl Pattern_History_Table_34_Pack.vhdl Pattern_History_Table_34_RegisterFile_Pack.vhdl Pattern_History_Table_34_RegisterFile.vhdl Pattern_History_Table_34.vhdl
    141 
    142 # Pattern_History_Table_35
    143 target_dep      all     Pattern_History_Table_35.ngc
    144 target_dep      Pattern_History_Table_35.ngc    Pattern_History_Table_35.prj
    145 target_dep      Pattern_History_Table_35.prj    Pattern_History_Table_35_Counter_Pack.vhdl Pattern_History_Table_35_Counter.vhdl Pattern_History_Table_35_Pack.vhdl Pattern_History_Table_35_RegisterFile_Pack.vhdl Pattern_History_Table_35_RegisterFile.vhdl Pattern_History_Table_35.vhdl
    146 
    147 # Pattern_History_Table_3
    148 target_dep      all     Pattern_History_Table_3.ngc
    149 target_dep      Pattern_History_Table_3.ngc     Pattern_History_Table_3.prj
    150 target_dep      Pattern_History_Table_3.prj     Pattern_History_Table_30_Counter_Pack.vhdl Pattern_History_Table_30_Counter.vhdl Pattern_History_Table_30_Pack.vhdl Pattern_History_Table_30_RegisterFile_Pack.vhdl Pattern_History_Table_30_RegisterFile.vhdl Pattern_History_Table_30.vhdl Pattern_History_Table_31_Counter_Pack.vhdl Pattern_History_Table_31_Counter.vhdl Pattern_History_Table_31_Pack.vhdl Pattern_History_Table_31_RegisterFile_Pack.vhdl Pattern_History_Table_31_RegisterFile.vhdl Pattern_History_Table_31.vhdl Pattern_History_Table_32_Counter_Pack.vhdl Pattern_History_Table_32_Counter.vhdl Pattern_History_Table_32_Pack.vhdl Pattern_History_Table_32_RegisterFile_Pack.vhdl Pattern_History_Table_32_RegisterFile.vhdl Pattern_History_Table_32.vhdl Pattern_History_Table_33_Counter_Pack.vhdl Pattern_History_Table_33_Counter.vhdl Pattern_History_Table_33_Pack.vhdl Pattern_History_Table_33_RegisterFile_Pack.vhdl Pattern_History_Table_33_RegisterFile.vhdl Pattern_History_Table_33.vhdl Pattern_History_Table_34_Counter_Pack.vhdl Pattern_History_Table_34_Counter.vhdl Pattern_History_Table_34_Pack.vhdl Pattern_History_Table_34_RegisterFile_Pack.vhdl Pattern_History_Table_34_RegisterFile.vhdl Pattern_History_Table_34.vhdl Pattern_History_Table_35_Counter_Pack.vhdl Pattern_History_Table_35_Counter.vhdl Pattern_History_Table_35_Pack.vhdl Pattern_History_Table_35_RegisterFile_Pack.vhdl Pattern_History_Table_35_RegisterFile.vhdl Pattern_History_Table_35.vhdl Pattern_History_Table_3_Counter_Pack.vhdl Pattern_History_Table_3_Counter.vhdl Pattern_History_Table_3_Pack.vhdl Pattern_History_Table_3_RegisterFile_Pack.vhdl Pattern_History_Table_3_RegisterFile.vhdl Pattern_History_Table_3.vhdl
    151 
    152 # Pattern_History_Table_4
    153 target_dep      all     Pattern_History_Table_4.ngc
    154 target_dep      Pattern_History_Table_4.ngc     Pattern_History_Table_4.prj
    155 target_dep      Pattern_History_Table_4.prj     Pattern_History_Table_4_Counter_Pack.vhdl Pattern_History_Table_4_Counter.vhdl Pattern_History_Table_4_Pack.vhdl Pattern_History_Table_4_RegisterFile_Pack.vhdl Pattern_History_Table_4_RegisterFile.vhdl Pattern_History_Table_4.vhdl
    156 
    157 # Pattern_History_Table_5
    158 target_dep      all     Pattern_History_Table_5.ngc
    159 target_dep      Pattern_History_Table_5.ngc     Pattern_History_Table_5.prj
    160 target_dep      Pattern_History_Table_5.prj     Pattern_History_Table_5_Counter_Pack.vhdl Pattern_History_Table_5_Counter.vhdl Pattern_History_Table_5_Pack.vhdl Pattern_History_Table_5_RegisterFile_Pack.vhdl Pattern_History_Table_5_RegisterFile.vhdl Pattern_History_Table_5.vhdl
    161 
    162 # Pattern_History_Table_6
    163 target_dep      all     Pattern_History_Table_6.ngc
    164 target_dep      Pattern_History_Table_6.ngc     Pattern_History_Table_6.prj
    165 target_dep      Pattern_History_Table_6.prj     Pattern_History_Table_6_Counter_Pack.vhdl Pattern_History_Table_6_Counter.vhdl Pattern_History_Table_6_Pack.vhdl Pattern_History_Table_6_RegisterFile_Pack.vhdl Pattern_History_Table_6_RegisterFile.vhdl Pattern_History_Table_6.vhdl
    166 
    167 # Pattern_History_Table_7
    168 target_dep      all     Pattern_History_Table_7.ngc
    169 target_dep      Pattern_History_Table_7.ngc     Pattern_History_Table_7.prj
    170 target_dep      Pattern_History_Table_7.prj     Pattern_History_Table_7_Counter_Pack.vhdl Pattern_History_Table_7_Counter.vhdl Pattern_History_Table_7_Pack.vhdl Pattern_History_Table_7_RegisterFile_Pack.vhdl Pattern_History_Table_7_RegisterFile.vhdl Pattern_History_Table_7.vhdl
    171 
    172 # Pattern_History_Table_8
    173 target_dep      all     Pattern_History_Table_8.ngc
    174 target_dep      Pattern_History_Table_8.ngc     Pattern_History_Table_8.prj
    175 target_dep      Pattern_History_Table_8.prj     Pattern_History_Table_8_Counter_Pack.vhdl Pattern_History_Table_8_Counter.vhdl Pattern_History_Table_8_Pack.vhdl Pattern_History_Table_8_RegisterFile_Pack.vhdl Pattern_History_Table_8_RegisterFile.vhdl Pattern_History_Table_8.vhdl
    176 
    177 # Pattern_History_Table_9
    178 target_dep      all     Pattern_History_Table_9.ngc
    179 target_dep      Pattern_History_Table_9.ngc     Pattern_History_Table_9.prj
    180 target_dep      Pattern_History_Table_9.prj     Pattern_History_Table_9_Counter_Pack.vhdl Pattern_History_Table_9_Counter.vhdl Pattern_History_Table_9_Pack.vhdl Pattern_History_Table_9_RegisterFile_Pack.vhdl Pattern_History_Table_9_RegisterFile.vhdl Pattern_History_Table_9.vhdl
    181 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/SelfTest/src/test.cpp

    r3 r15  
    4343   *********************************************************************/
    4444  sc_clock                                 CLOCK ("clock", 1.0, 0.5);
     45  sc_signal<Tcontrol_t>                    NRESET;
     46
    4547  sc_signal<Tcontrol_t>                    PREDICT_VAL               [param._nb_prediction];
    4648  sc_signal<Tcontrol_t>                    PREDICT_ACK               [param._nb_prediction];
     
    6163 
    6264  (*(_Pattern_History_Table->in_CLOCK))        (CLOCK);
     65  (*(_Pattern_History_Table->in_NRESET))       (NRESET);
    6366
    6467  for (uint32_t i=0; i<param._nb_prediction; i++)
     
    9295  _Pattern_History_Table->vhdl_testbench_label("Initialisation");
    9396  cout << "{"+toString(static_cast<uint32_t>(sc_simulation_time()))+"} Initialisation" << endl;
    94 
     97 
     98  NRESET.write(1);
    9599
    96100  for (uint32_t i=0; i<param._nb_prediction; i++)
Note: See TracChangeset for help on using the changeset viewer.