Ignore:
Timestamp:
Sep 24, 2007, 2:00:35 PM (17 years ago)
Author:
rosiere
Message:

Ajout SystemC read_queue et reservation_station
Ajout port au bloc registerfile_monolithic (à ajouter également au bloc registerfile et registerfile_multi_banked)
Modif param : passage de pointeur (attention, tous les composants n'ont pas été tous modifier)

Location:
trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit
Files:
52 added
18 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/SelfTest/config0.cfg

    r54 r55  
    11Read_queue
    2 2       8       *2      # size_read_queue   
     22       8       *2      # size_queue   
    334       4       *2      # nb_context         
    4432      32      *2      # nb_packet         
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/SelfTest/config1.cfg

    r54 r55  
    11Read_queue
    2 4       4       *2      # size_read_queue   
     24       4       *2      # size_queue   
    334       4       *2      # nb_context         
    4432      32      *2      # nb_packet         
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/SelfTest/src/main.cpp

    r54 r55  
    1414  cerr << "<Usage> " << argv[0] << " name_instance list_params" << endl
    1515       << "list_params is :" << endl
    16        << " - size_read_queue     (unsigned int)" << endl
     16       << " - size_queue          (unsigned int)" << endl
    1717       << " - nb_context          (unsigned int)" << endl
    1818       << " - nb_packet           (unsigned int)" << endl
     
    4444
    4545  const string   name                = argv[1];
    46   const uint32_t size_read_queue     = atoi(argv[ 2]);
     46  const uint32_t size_queue          = atoi(argv[ 2]);
    4747  const uint32_t nb_context          = atoi(argv[ 3]);
    4848  const uint32_t nb_packet           = atoi(argv[ 4]);
     
    5959    {
    6060      morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::read_queue::Parameters * param = new morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::read_queue::Parameters
    61         ( size_read_queue   
     61        ( size_queue   
    6262         ,nb_context         
    6363         ,nb_packet         
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/SelfTest/src/test.cpp

    r54 r55  
    1111#include "Common/include/Test.h"
    1212
    13 #define NB_ITERATION  512
    14 #define CYCLE_MAX     (128*NB_ITERATION)
     13#define NB_ITERATION  64
     14#define CYCLE_MAX     (1024*NB_ITERATION)
    1515
    1616#define LABEL(str)                                                                       \
     
    7171  sc_signal<Tcontext_t         >         * READ_QUEUE_IN_CONTEXT_ID   = new sc_signal<Tcontext_t         >         ("READ_QUEUE_IN_CONTEXT_ID  ");
    7272  sc_signal<Tpacket_t          >         * READ_QUEUE_IN_PACKET_ID    = new sc_signal<Tpacket_t          >         ("READ_QUEUE_IN_PACKET_ID   ");
    73   sc_signal<uint32_t           >         * READ_QUEUE_IN_OPERATION    = new sc_signal<uint32_t           >         ("READ_QUEUE_IN_OPERATION   ");
     73  sc_signal<Toperation_t       >         * READ_QUEUE_IN_OPERATION    = new sc_signal<Toperation_t       >         ("READ_QUEUE_IN_OPERATION   ");
    7474  sc_signal<Ttype_t            >         * READ_QUEUE_IN_TYPE         = new sc_signal<Ttype_t            >         ("READ_QUEUE_IN_TYPE        ");
    7575  sc_signal<Tcontrol_t         >         * READ_QUEUE_IN_HAS_IMMEDIAT = new sc_signal<Tcontrol_t         >         ("READ_QUEUE_IN_HAS_IMMEDIAT");
     
    9292  sc_signal<Tcontext_t         >         * READ_QUEUE_OUT_CONTEXT_ID  = new sc_signal<Tcontext_t         >         ("READ_QUEUE_OUT_CONTEXT_ID  ");
    9393  sc_signal<Tpacket_t          >         * READ_QUEUE_OUT_PACKET_ID   = new sc_signal<Tpacket_t          >         ("READ_QUEUE_OUT_PACKET_ID   ");
    94   sc_signal<uint32_t           >         * READ_QUEUE_OUT_OPERATION   = new sc_signal<uint32_t           >         ("READ_QUEUE_OUT_OPERATION   ");
     94  sc_signal<Toperation_t       >         * READ_QUEUE_OUT_OPERATION   = new sc_signal<Toperation_t       >         ("READ_QUEUE_OUT_OPERATION   ");
    9595  sc_signal<Ttype_t            >         * READ_QUEUE_OUT_TYPE        = new sc_signal<Ttype_t            >         ("READ_QUEUE_OUT_TYPE        ");
    9696  sc_signal<Tcontrol_t         >         * READ_QUEUE_OUT_HAS_IMMEDIAT= new sc_signal<Tcontrol_t         >         ("READ_QUEUE_OUT_HAS_IMMEDIAT");
     
    163163    }
    164164
    165     // ~~~~~[ Interface "bypass_gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    166 
    167   sc_signal<Tcontrol_t         > ** BYPASS_GPR_WRITE_VAL        = new sc_signal<Tcontrol_t         > * [_param->_nb_gpr_write];
    168   sc_signal<Tcontext_t         > ** BYPASS_GPR_WRITE_CONTEXT_ID = new sc_signal<Tcontext_t         > * [_param->_nb_gpr_write];
    169   sc_signal<Tgeneral_address_t > ** BYPASS_GPR_WRITE_NUM_REG    = new sc_signal<Tgeneral_address_t > * [_param->_nb_gpr_write];
    170   sc_signal<Tgeneral_data_t    > ** BYPASS_GPR_WRITE_DATA       = new sc_signal<Tgeneral_data_t    > * [_param->_nb_gpr_write];
     165    // ~~~~~[ Interface "gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     166
     167  sc_signal<Tcontrol_t         > ** GPR_WRITE_VAL        = new sc_signal<Tcontrol_t         > * [_param->_nb_gpr_write];
     168  sc_signal<Tcontext_t         > ** GPR_WRITE_CONTEXT_ID = new sc_signal<Tcontext_t         > * [_param->_nb_gpr_write];
     169  sc_signal<Tgeneral_address_t > ** GPR_WRITE_NUM_REG    = new sc_signal<Tgeneral_address_t > * [_param->_nb_gpr_write];
     170  sc_signal<Tgeneral_data_t    > ** GPR_WRITE_DATA       = new sc_signal<Tgeneral_data_t    > * [_param->_nb_gpr_write];
    171171
    172172  for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    173173    {
    174       rename = "BYPASS_GPR_WRITE_"+toString(i)+"_VAL"       ;
    175       BYPASS_GPR_WRITE_VAL        [i] = new sc_signal<Tcontrol_t         > (rename.c_str());
    176       rename = "BYPASS_GPR_WRITE_"+toString(i)+"_CONTEXT_ID";
    177       BYPASS_GPR_WRITE_CONTEXT_ID [i] = new sc_signal<Tcontext_t         > (rename.c_str());
    178       rename = "BYPASS_GPR_WRITE_"+toString(i)+"_NUM_REG"   ;
    179       BYPASS_GPR_WRITE_NUM_REG    [i] = new sc_signal<Tgeneral_address_t > (rename.c_str());
    180       rename = "BYPASS_GPR_WRITE_"+toString(i)+"_DATA"      ;
    181       BYPASS_GPR_WRITE_DATA       [i] = new sc_signal<Tgeneral_data_t    > (rename.c_str());
    182     }
    183 
    184     // ~~~~~[ Interface "bypass_spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    185 
    186   sc_signal<Tcontrol_t         > ** BYPASS_SPR_WRITE_VAL        = new sc_signal<Tcontrol_t         > * [_param->_nb_spr_write];
    187   sc_signal<Tcontext_t         > ** BYPASS_SPR_WRITE_CONTEXT_ID = new sc_signal<Tcontext_t         > * [_param->_nb_spr_write];
    188   sc_signal<Tspecial_address_t > ** BYPASS_SPR_WRITE_NUM_REG    = new sc_signal<Tspecial_address_t > * [_param->_nb_spr_write];
    189   sc_signal<Tspecial_data_t    > ** BYPASS_SPR_WRITE_DATA       = new sc_signal<Tspecial_data_t    > * [_param->_nb_spr_write];
     174      rename = "GPR_WRITE_"+toString(i)+"_VAL"       ;
     175      GPR_WRITE_VAL        [i] = new sc_signal<Tcontrol_t         > (rename.c_str());
     176      rename = "GPR_WRITE_"+toString(i)+"_CONTEXT_ID";
     177      GPR_WRITE_CONTEXT_ID [i] = new sc_signal<Tcontext_t         > (rename.c_str());
     178      rename = "GPR_WRITE_"+toString(i)+"_NUM_REG"   ;
     179      GPR_WRITE_NUM_REG    [i] = new sc_signal<Tgeneral_address_t > (rename.c_str());
     180      rename = "GPR_WRITE_"+toString(i)+"_DATA"      ;
     181      GPR_WRITE_DATA       [i] = new sc_signal<Tgeneral_data_t    > (rename.c_str());
     182    }
     183
     184    // ~~~~~[ Interface "spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     185
     186  sc_signal<Tcontrol_t         > ** SPR_WRITE_VAL        = new sc_signal<Tcontrol_t         > * [_param->_nb_spr_write];
     187  sc_signal<Tcontext_t         > ** SPR_WRITE_CONTEXT_ID = new sc_signal<Tcontext_t         > * [_param->_nb_spr_write];
     188  sc_signal<Tspecial_address_t > ** SPR_WRITE_NUM_REG    = new sc_signal<Tspecial_address_t > * [_param->_nb_spr_write];
     189  sc_signal<Tspecial_data_t    > ** SPR_WRITE_DATA       = new sc_signal<Tspecial_data_t    > * [_param->_nb_spr_write];
    190190
    191191  for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    192192    {
    193       rename = "BYPASS_SPR_WRITE_"+toString(i)+"_VAL"       ;
    194       BYPASS_SPR_WRITE_VAL        [i] = new sc_signal<Tcontrol_t         > (rename.c_str());
    195       rename = "BYPASS_SPR_WRITE_"+toString(i)+"_CONTEXT_ID";
    196       BYPASS_SPR_WRITE_CONTEXT_ID [i] = new sc_signal<Tcontext_t         > (rename.c_str());
    197       rename = "BYPASS_SPR_WRITE_"+toString(i)+"_NUM_REG"   ;
    198       BYPASS_SPR_WRITE_NUM_REG    [i] = new sc_signal<Tspecial_address_t > (rename.c_str());
    199       rename = "BYPASS_SPR_WRITE_"+toString(i)+"_DATA"      ;
    200       BYPASS_SPR_WRITE_DATA       [i] = new sc_signal<Tspecial_data_t    > (rename.c_str());
     193      rename = "SPR_WRITE_"+toString(i)+"_VAL"       ;
     194      SPR_WRITE_VAL        [i] = new sc_signal<Tcontrol_t         > (rename.c_str());
     195      rename = "SPR_WRITE_"+toString(i)+"_CONTEXT_ID";
     196      SPR_WRITE_CONTEXT_ID [i] = new sc_signal<Tcontext_t         > (rename.c_str());
     197      rename = "SPR_WRITE_"+toString(i)+"_NUM_REG"   ;
     198      SPR_WRITE_NUM_REG    [i] = new sc_signal<Tspecial_address_t > (rename.c_str());
     199      rename = "SPR_WRITE_"+toString(i)+"_DATA"      ;
     200      SPR_WRITE_DATA       [i] = new sc_signal<Tspecial_data_t    > (rename.c_str());
    201201    }
    202202
     
    277277  for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    278278    {
    279       (*(_Read_queue-> in_BYPASS_GPR_WRITE_VAL        [i])) (*(BYPASS_GPR_WRITE_VAL        [i]));
    280       (*(_Read_queue-> in_BYPASS_GPR_WRITE_CONTEXT_ID [i])) (*(BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    281       (*(_Read_queue-> in_BYPASS_GPR_WRITE_NUM_REG    [i])) (*(BYPASS_GPR_WRITE_NUM_REG    [i]));
    282       (*(_Read_queue-> in_BYPASS_GPR_WRITE_DATA       [i])) (*(BYPASS_GPR_WRITE_DATA       [i]));
     279      (*(_Read_queue-> in_GPR_WRITE_VAL        [i])) (*(GPR_WRITE_VAL        [i]));
     280      (*(_Read_queue-> in_GPR_WRITE_CONTEXT_ID [i])) (*(GPR_WRITE_CONTEXT_ID [i]));
     281      (*(_Read_queue-> in_GPR_WRITE_NUM_REG    [i])) (*(GPR_WRITE_NUM_REG    [i]));
     282      (*(_Read_queue-> in_GPR_WRITE_DATA       [i])) (*(GPR_WRITE_DATA       [i]));
    283283    }
    284284 
    285285  for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    286286    {
    287       (*(_Read_queue-> in_BYPASS_SPR_WRITE_VAL        [i])) (*(BYPASS_SPR_WRITE_VAL        [i]));
    288       (*(_Read_queue-> in_BYPASS_SPR_WRITE_CONTEXT_ID [i])) (*(BYPASS_SPR_WRITE_CONTEXT_ID [i]));
    289       (*(_Read_queue-> in_BYPASS_SPR_WRITE_NUM_REG    [i])) (*(BYPASS_SPR_WRITE_NUM_REG    [i]));
    290       (*(_Read_queue-> in_BYPASS_SPR_WRITE_DATA       [i])) (*(BYPASS_SPR_WRITE_DATA       [i]));
     287      (*(_Read_queue-> in_SPR_WRITE_VAL        [i])) (*(SPR_WRITE_VAL        [i]));
     288      (*(_Read_queue-> in_SPR_WRITE_CONTEXT_ID [i])) (*(SPR_WRITE_CONTEXT_ID [i]));
     289      (*(_Read_queue-> in_SPR_WRITE_NUM_REG    [i])) (*(SPR_WRITE_NUM_REG    [i]));
     290      (*(_Read_queue-> in_SPR_WRITE_DATA       [i])) (*(SPR_WRITE_DATA       [i]));
    291291    }
    292292
     
    321321
    322322//   for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    323 //     BYPASS_GPR_WRITE_VAL    [i]->write (0);
     323//     GPR_WRITE_VAL    [i]->write (0);
    324324//   for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    325 //     BYPASS_SPR_WRITE_VAL    [i]->write (0);
     325//     SPR_WRITE_VAL    [i]->write (0);
    326326
    327327  NRESET->write(0);
     
    337337      int32_t percent_transaction_queue_out    = (rand()%50)+25;
    338338      int32_t percent_registerfile_valid       = (rand()%50)+25;
    339       int32_t percent_transaction_registerfile = (rand()%50)+25;
     339      int32_t percent_transaction_registerfile = (rand()%74)+25;
    340340      int32_t percent_transaction_bypass       = (rand()%50)+25;
    341341     
     
    368368        }
    369369      // End initialisation .......
    370 
     370     
    371371      uint32_t request_in  = 0;
    372372      uint32_t request_out = 0;
     
    437437              Tgeneral_data_t    data    = rand()%(1<<_param->_size_general_data);
    438438             
    439               BYPASS_GPR_WRITE_VAL        [i]->write(val);           
    440               BYPASS_GPR_WRITE_CONTEXT_ID [i]->write(context);
    441               BYPASS_GPR_WRITE_NUM_REG    [i]->write(num_reg);
    442               BYPASS_GPR_WRITE_DATA       [i]->write(data);
     439              GPR_WRITE_VAL        [i]->write(val);           
     440              GPR_WRITE_CONTEXT_ID [i]->write(context);
     441              GPR_WRITE_NUM_REG    [i]->write(num_reg);
     442              GPR_WRITE_DATA       [i]->write(data);
    443443
    444444              if (val)
     
    455455              Tspecial_data_t    data    = rand()%(1<<_param->_size_special_data);
    456456             
    457               BYPASS_SPR_WRITE_VAL        [i]->write(val);           
    458               BYPASS_SPR_WRITE_CONTEXT_ID [i]->write(context);
    459               BYPASS_SPR_WRITE_NUM_REG    [i]->write(num_reg);
    460               BYPASS_SPR_WRITE_DATA       [i]->write(data);
     457              SPR_WRITE_VAL        [i]->write(val);           
     458              SPR_WRITE_CONTEXT_ID [i]->write(context);
     459              SPR_WRITE_NUM_REG    [i]->write(num_reg);
     460              SPR_WRITE_DATA       [i]->write(data);
    461461
    462462              if (val)
     
    483483
    484484              LABEL("Accepted READ_QUEUE_OUT ["+toString(packet_id)+"]");
    485 
     485             
    486486              TEST(uint32_t          , packet_id ,request_out);
    487487             
     
    579579  delete [] SPR_READ_DATA_VAL;
    580580
    581   delete [] BYPASS_GPR_WRITE_VAL        ;
    582   delete [] BYPASS_GPR_WRITE_CONTEXT_ID ;
    583   delete [] BYPASS_GPR_WRITE_NUM_REG    ;
    584   delete [] BYPASS_GPR_WRITE_DATA       ;
    585 
    586   delete [] BYPASS_SPR_WRITE_VAL        ;
    587   delete [] BYPASS_SPR_WRITE_CONTEXT_ID ;
    588   delete [] BYPASS_SPR_WRITE_NUM_REG    ;
    589   delete [] BYPASS_SPR_WRITE_DATA       ;
     581  delete [] GPR_WRITE_VAL        ;
     582  delete [] GPR_WRITE_CONTEXT_ID ;
     583  delete [] GPR_WRITE_NUM_REG    ;
     584  delete [] GPR_WRITE_DATA       ;
     585
     586  delete [] SPR_WRITE_VAL        ;
     587  delete [] SPR_WRITE_CONTEXT_ID ;
     588  delete [] SPR_WRITE_NUM_REG    ;
     589  delete [] SPR_WRITE_DATA       ;
    590590#endif
    591591
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Parameters.h

    r54 r55  
    2626  {
    2727    //-----[ fields ]------------------------------------------------------------
    28   public : const uint32_t    _size_read_queue      ;
     28  public : const uint32_t    _size_queue           ;
    2929  public : const uint32_t    _nb_context           ;
    3030  public : const uint32_t    _nb_packet            ;
     
    4848
    4949    //-----[ methods ]-----------------------------------------------------------
    50   public : Parameters  (  uint32_t size_read_queue    ,
    51                           uint32_t nb_context         ,
    52                           uint32_t nb_packet          ,
    53                           uint32_t size_general_data  ,
    54                           uint32_t size_special_data  ,
    55                           uint32_t nb_general_register,
    56                           uint32_t nb_special_register,
    57                           uint32_t nb_operation       ,
    58                           uint32_t nb_type            ,
    59                           uint32_t nb_gpr_write       ,
    60                           uint32_t nb_spr_write       );
     50  public : Parameters  (uint32_t size_queue         ,
     51                        uint32_t nb_context         ,
     52                        uint32_t nb_packet          ,
     53                        uint32_t size_general_data  ,
     54                        uint32_t size_special_data  ,
     55                        uint32_t nb_general_register,
     56                        uint32_t nb_special_register,
     57                        uint32_t nb_operation       ,
     58                        uint32_t nb_type            ,
     59                        uint32_t nb_gpr_write       ,
     60                        uint32_t nb_spr_write       );
    6161  public : Parameters  (Parameters & param) ;
    6262  public : ~Parameters () ;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Read_queue.h

    r54 r55  
    270270  public    : SC_IN (Tcontrol_t        )   **  in_SPR_READ_DATA_VAL  ;
    271271
    272     // ~~~~~[ Interface "bypass_gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    273 
    274   public    : SC_IN (Tcontrol_t        )   **  in_BYPASS_GPR_WRITE_VAL       ;
    275   public    : SC_IN (Tcontext_t        )   **  in_BYPASS_GPR_WRITE_CONTEXT_ID;
    276   public    : SC_IN (Tgeneral_address_t)   **  in_BYPASS_GPR_WRITE_NUM_REG   ;
    277   public    : SC_IN (Tgeneral_data_t   )   **  in_BYPASS_GPR_WRITE_DATA      ;
    278 
    279     // ~~~~~[ Interface "bypass_spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    280 
    281   public    : SC_IN (Tcontrol_t        )   **  in_BYPASS_SPR_WRITE_VAL       ;
    282   public    : SC_IN (Tcontext_t        )   **  in_BYPASS_SPR_WRITE_CONTEXT_ID;
    283   public    : SC_IN (Tspecial_address_t)   **  in_BYPASS_SPR_WRITE_NUM_REG   ;
    284   public    : SC_IN (Tspecial_data_t   )   **  in_BYPASS_SPR_WRITE_DATA      ;
     272    // ~~~~~[ Interface "gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     273
     274  public    : SC_IN (Tcontrol_t        )   **  in_GPR_WRITE_VAL       ;
     275  public    : SC_IN (Tcontext_t        )   **  in_GPR_WRITE_CONTEXT_ID;
     276  public    : SC_IN (Tgeneral_address_t)   **  in_GPR_WRITE_NUM_REG   ;
     277  public    : SC_IN (Tgeneral_data_t   )   **  in_GPR_WRITE_DATA      ;
     278
     279    // ~~~~~[ Interface "spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     280
     281  public    : SC_IN (Tcontrol_t        )   **  in_SPR_WRITE_VAL       ;
     282  public    : SC_IN (Tcontext_t        )   **  in_SPR_WRITE_CONTEXT_ID;
     283  public    : SC_IN (Tspecial_address_t)   **  in_SPR_WRITE_NUM_REG   ;
     284  public    : SC_IN (Tspecial_data_t   )   **  in_SPR_WRITE_DATA      ;
    285285
    286286    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Types.h

    r54 r55  
    2626  typedef uint32_t Tcontext_t        ;
    2727  typedef uint32_t Tpacket_t         ;
    28   typedef uint32_t Toperation_t      ;
     28//typedef uint32_t Toperation_t      ; // cf Constant_OpenRISC.h
    2929  typedef uint32_t Ttype_t           ;
    3030
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Parameters.cpp

    r54 r55  
    1818
    1919
    20   Parameters::Parameters (uint32_t size_read_queue    ,
     20  Parameters::Parameters (uint32_t size_queue         ,
    2121                          uint32_t nb_context         ,
    2222                          uint32_t nb_packet          ,
     
    2929                          uint32_t nb_gpr_write       ,
    3030                          uint32_t nb_spr_write       ):
    31     _size_read_queue       (size_read_queue      ),
     31    _size_queue            (size_queue           ),
    3232    _nb_context            (nb_context           ),
    3333    _nb_packet             (nb_packet            ),
     
    5656 
    5757  Parameters::Parameters (Parameters & param):
    58     _size_read_queue       (param._size_read_queue       ),
     58    _size_queue            (param._size_queue            ),
    5959    _nb_context            (param._nb_context            ),
    6060    _nb_packet             (param._nb_packet             ),
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Parameters_msg_error.cpp

    r54 r55  
    2727    string msg = "";
    2828
    29     if (_size_read_queue < 2)
     29    if (_size_queue < 2)
    3030      {
    3131        msg += "  - The read_queue must be have less a depth of 2";
    32         msg += "    * size_read_queue                 : " + toString(_size_read_queue)    + "\n";
     32        msg += "    * size_queue                      : " + toString(_size_queue)    + "\n";
    3333      }
    3434   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Parameters_print.cpp

    r54 r55  
    2727
    2828    xml.balise_open("read_queue");
    29     xml.singleton_begin("size_read_queue    "); xml.attribut("value",toString(_size_read_queue    )); xml.singleton_end();
     29    xml.singleton_begin("size_queue         "); xml.attribut("value",toString(_size_queue         )); xml.singleton_end();
    3030    xml.singleton_begin("nb_context         "); xml.attribut("value",toString(_nb_context         )); xml.singleton_end();
    3131    xml.singleton_begin("nb_packet          "); xml.attribut("value",toString(_nb_packet          )); xml.singleton_end();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue.cpp

    r54 r55  
    7777      sensitive << *(in_SPR_READ_ACK      [i]);
    7878    for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    79       sensitive << *(in_BYPASS_GPR_WRITE_VAL        [i])
    80                 << *(in_BYPASS_GPR_WRITE_CONTEXT_ID [i])
    81                 << *(in_BYPASS_GPR_WRITE_NUM_REG    [i]);
     79      sensitive << *(in_GPR_WRITE_VAL        [i])
     80                << *(in_GPR_WRITE_CONTEXT_ID [i])
     81                << *(in_GPR_WRITE_NUM_REG    [i]);
    8282    for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    83       sensitive << *(in_BYPASS_SPR_WRITE_VAL        [i])
    84                 << *(in_BYPASS_SPR_WRITE_CONTEXT_ID [i])
    85                 << *(in_BYPASS_SPR_WRITE_NUM_REG    [i]);
     83      sensitive << *(in_SPR_WRITE_VAL        [i])
     84                << *(in_SPR_WRITE_CONTEXT_ID [i])
     85                << *(in_SPR_WRITE_NUM_REG    [i]);
    8686
    8787#ifdef SYSTEMCASS_SPECIFIC
     
    9393    for (uint32_t i=0; i<_param->_nb_gpr_write ; i++)
    9494      {
    95         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    96         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    97         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
     95        (*(out_READ_QUEUE_OUT_VAL)) (*(in_GPR_WRITE_VAL        [i]));
     96        (*(out_READ_QUEUE_OUT_VAL)) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     97        (*(out_READ_QUEUE_OUT_VAL)) (*(in_GPR_WRITE_NUM_REG    [i]));
    9898      }
    9999    for (uint32_t i=0; i<_param->_nb_spr_write ; i++)
    100100      {
    101         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_SPR_WRITE_VAL        [i]));
    102         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_SPR_WRITE_CONTEXT_ID [i]));
    103         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_SPR_WRITE_NUM_REG    [i]));
     101        (*(out_READ_QUEUE_OUT_VAL)) (*(in_SPR_WRITE_VAL        [i]));
     102        (*(out_READ_QUEUE_OUT_VAL)) (*(in_SPR_WRITE_CONTEXT_ID [i]));
     103        (*(out_READ_QUEUE_OUT_VAL)) (*(in_SPR_WRITE_NUM_REG    [i]));
    104104      }
    105105#endif   
     
    115115                << *(in_GPR_READ_DATA_VAL [i]);
    116116    for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    117       sensitive << *(in_BYPASS_GPR_WRITE_VAL        [i])
    118                 << *(in_BYPASS_GPR_WRITE_CONTEXT_ID [i])
    119                 << *(in_BYPASS_GPR_WRITE_NUM_REG    [i])
    120                 << *(in_BYPASS_GPR_WRITE_DATA       [i]);
     117      sensitive << *(in_GPR_WRITE_VAL        [i])
     118                << *(in_GPR_WRITE_CONTEXT_ID [i])
     119                << *(in_GPR_WRITE_NUM_REG    [i])
     120                << *(in_GPR_WRITE_DATA       [i]);
    121121
    122122#ifdef SYSTEMCASS_SPECIFIC
     
    133133    for (uint32_t i=0; i<_param->_nb_gpr_write ; i++)
    134134      {
    135         (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    136         (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    137         (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
    138         (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    139         (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    140         (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
    141 
    142         (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    143         (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    144         (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
    145         (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_BYPASS_GPR_WRITE_DATA       [i]));
    146         (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    147         (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    148         (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
    149         (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_BYPASS_GPR_WRITE_DATA       [i]));
     135        (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_GPR_WRITE_VAL        [i]));
     136        (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     137        (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_GPR_WRITE_NUM_REG    [i]));
     138        (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_GPR_WRITE_VAL        [i]));
     139        (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     140        (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_GPR_WRITE_NUM_REG    [i]));
     141
     142        (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_GPR_WRITE_VAL        [i]));
     143        (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     144        (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_GPR_WRITE_NUM_REG    [i]));
     145        (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_GPR_WRITE_DATA       [i]));
     146        (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_GPR_WRITE_VAL        [i]));
     147        (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     148        (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_GPR_WRITE_NUM_REG    [i]));
     149        (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_GPR_WRITE_DATA       [i]));
    150150      }
    151151#endif   
     
    161161                << *(in_SPR_READ_DATA_VAL [i]);
    162162    for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    163       sensitive << *(in_BYPASS_SPR_WRITE_VAL        [i])
    164                 << *(in_BYPASS_SPR_WRITE_CONTEXT_ID [i])
    165                 << *(in_BYPASS_SPR_WRITE_NUM_REG    [i])
    166                 << *(in_BYPASS_SPR_WRITE_DATA       [i]);
     163      sensitive << *(in_SPR_WRITE_VAL        [i])
     164                << *(in_SPR_WRITE_CONTEXT_ID [i])
     165                << *(in_SPR_WRITE_NUM_REG    [i])
     166                << *(in_SPR_WRITE_DATA       [i]);
    167167
    168168#ifdef SYSTEMCASS_SPECIFIC
     
    176176    for (uint32_t i=0; i<_param->_nb_spr_write ; i++)
    177177      {
    178         (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_BYPASS_SPR_WRITE_VAL        [i]));
    179         (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_BYPASS_SPR_WRITE_CONTEXT_ID [i]));
    180         (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_BYPASS_SPR_WRITE_NUM_REG    [i]));
    181 
    182         (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_BYPASS_SPR_WRITE_VAL        [i]));
    183         (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_BYPASS_SPR_WRITE_CONTEXT_ID [i]));
    184         (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_BYPASS_SPR_WRITE_NUM_REG    [i]));
    185         (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_BYPASS_SPR_WRITE_DATA       [i]));
     178        (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_SPR_WRITE_VAL        [i]));
     179        (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_SPR_WRITE_CONTEXT_ID [i]));
     180        (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_SPR_WRITE_NUM_REG    [i]));
     181
     182        (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_SPR_WRITE_VAL        [i]));
     183        (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_SPR_WRITE_CONTEXT_ID [i]));
     184        (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_SPR_WRITE_NUM_REG    [i]));
     185        (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_SPR_WRITE_DATA       [i]));
    186186      }
    187187#endif   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_allocation.cpp

    r54 r55  
    170170      }
    171171
    172     // ~~~~~[ Interface : "bypass_gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    173    
    174      in_BYPASS_GPR_WRITE_VAL       = new SC_IN (Tcontrol_t        ) * [_param->_nb_gpr_write];
    175      in_BYPASS_GPR_WRITE_CONTEXT_ID= new SC_IN (Tcontext_t        ) * [_param->_nb_gpr_write];
    176      in_BYPASS_GPR_WRITE_NUM_REG   = new SC_IN (Tgeneral_address_t) * [_param->_nb_gpr_write];
    177      in_BYPASS_GPR_WRITE_DATA      = new SC_IN (Tgeneral_data_t   ) * [_param->_nb_gpr_write];
     172    // ~~~~~[ Interface : "gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     173   
     174     in_GPR_WRITE_VAL       = new SC_IN (Tcontrol_t        ) * [_param->_nb_gpr_write];
     175     in_GPR_WRITE_CONTEXT_ID= new SC_IN (Tcontext_t        ) * [_param->_nb_gpr_write];
     176     in_GPR_WRITE_NUM_REG   = new SC_IN (Tgeneral_address_t) * [_param->_nb_gpr_write];
     177     in_GPR_WRITE_DATA      = new SC_IN (Tgeneral_data_t   ) * [_param->_nb_gpr_write];
    178178
    179179    for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    180180      {
    181         Interface_fifo * interface = _interfaces->set_interface("bypass_gpr_write_"+toString(i)
     181        Interface_fifo * interface = _interfaces->set_interface("gpr_write_"+toString(i)
    182182#ifdef POSITION
    183183                                                                , IN 
     
    187187                                                                );
    188188
    189          in_BYPASS_GPR_WRITE_VAL        [i] = interface->set_signal_valack_in        ("val"    , VAL);
    190          in_BYPASS_GPR_WRITE_CONTEXT_ID [i] = interface->set_signal_in  <Tcontext_t        > ("context_id",_param->_size_context_id);
    191          in_BYPASS_GPR_WRITE_NUM_REG    [i] = interface->set_signal_in  <Tgeneral_address_t> ("num_reg"   ,_param->_size_general_register);
    192          in_BYPASS_GPR_WRITE_DATA       [i] = interface->set_signal_in  <Tgeneral_data_t   > ("data"      ,_param->_size_general_data);
    193       }
    194 
    195     // ~~~~~[ Interface : "bypass_spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    196    
    197      in_BYPASS_SPR_WRITE_VAL       = new SC_IN (Tcontrol_t        ) * [_param->_nb_spr_write];
    198      in_BYPASS_SPR_WRITE_CONTEXT_ID= new SC_IN (Tcontext_t        ) * [_param->_nb_spr_write];
    199      in_BYPASS_SPR_WRITE_NUM_REG   = new SC_IN (Tspecial_address_t) * [_param->_nb_spr_write];
    200      in_BYPASS_SPR_WRITE_DATA      = new SC_IN (Tspecial_data_t   ) * [_param->_nb_spr_write];
     189         in_GPR_WRITE_VAL        [i] = interface->set_signal_valack_in        ("val"    , VAL);
     190         in_GPR_WRITE_CONTEXT_ID [i] = interface->set_signal_in  <Tcontext_t        > ("context_id",_param->_size_context_id);
     191         in_GPR_WRITE_NUM_REG    [i] = interface->set_signal_in  <Tgeneral_address_t> ("num_reg"   ,_param->_size_general_register);
     192         in_GPR_WRITE_DATA       [i] = interface->set_signal_in  <Tgeneral_data_t   > ("data"      ,_param->_size_general_data);
     193      }
     194
     195    // ~~~~~[ Interface : "spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     196   
     197     in_SPR_WRITE_VAL       = new SC_IN (Tcontrol_t        ) * [_param->_nb_spr_write];
     198     in_SPR_WRITE_CONTEXT_ID= new SC_IN (Tcontext_t        ) * [_param->_nb_spr_write];
     199     in_SPR_WRITE_NUM_REG   = new SC_IN (Tspecial_address_t) * [_param->_nb_spr_write];
     200     in_SPR_WRITE_DATA      = new SC_IN (Tspecial_data_t   ) * [_param->_nb_spr_write];
    201201
    202202    for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    203203      {
    204         Interface_fifo * interface = _interfaces->set_interface("bypass_spr_write_"+toString(i)
     204        Interface_fifo * interface = _interfaces->set_interface("spr_write_"+toString(i)
    205205#ifdef POSITION
    206206                                                                , IN 
     
    210210                                                                );
    211211
    212          in_BYPASS_SPR_WRITE_VAL        [i] = interface->set_signal_valack_in        ("val"    , VAL);
    213          in_BYPASS_SPR_WRITE_CONTEXT_ID [i] = interface->set_signal_in  <Tcontext_t        > ("context_id",_param->_size_context_id);
    214          in_BYPASS_SPR_WRITE_NUM_REG    [i] = interface->set_signal_in  <Tspecial_address_t> ("num_reg"   ,_param->_size_special_register);
    215          in_BYPASS_SPR_WRITE_DATA       [i] = interface->set_signal_in  <Tspecial_data_t   > ("data"      ,_param->_size_special_data);
     212         in_SPR_WRITE_VAL        [i] = interface->set_signal_valack_in        ("val"    , VAL);
     213         in_SPR_WRITE_CONTEXT_ID [i] = interface->set_signal_in  <Tcontext_t        > ("context_id",_param->_size_context_id);
     214         in_SPR_WRITE_NUM_REG    [i] = interface->set_signal_in  <Tspecial_address_t> ("num_reg"   ,_param->_size_special_register);
     215         in_SPR_WRITE_DATA       [i] = interface->set_signal_in  <Tspecial_data_t   > ("data"      ,_param->_size_special_data);
    216216      }
    217217
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_deallocation.cpp

    r54 r55  
    9191    delete []  in_SPR_READ_DATA_VAL  ;
    9292
    93     // ~~~~~[ Interface : "bypass_gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     93    // ~~~~~[ Interface : "gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    9494   
    95     delete []  in_BYPASS_GPR_WRITE_VAL       ;
    96     delete []  in_BYPASS_GPR_WRITE_CONTEXT_ID;
    97     delete []  in_BYPASS_GPR_WRITE_NUM_REG   ;
    98     delete []  in_BYPASS_GPR_WRITE_DATA      ;
     95    delete []  in_GPR_WRITE_VAL       ;
     96    delete []  in_GPR_WRITE_CONTEXT_ID;
     97    delete []  in_GPR_WRITE_NUM_REG   ;
     98    delete []  in_GPR_WRITE_DATA      ;
    9999
    100     // ~~~~~[ Interface : "bypass_spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     100    // ~~~~~[ Interface : "spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    101101   
    102     delete []  in_BYPASS_SPR_WRITE_VAL       ;
    103     delete []  in_BYPASS_SPR_WRITE_CONTEXT_ID;
    104     delete []  in_BYPASS_SPR_WRITE_NUM_REG   ;
    105     delete []  in_BYPASS_SPR_WRITE_DATA      ;
     102    delete []  in_SPR_WRITE_VAL       ;
     103    delete []  in_SPR_WRITE_CONTEXT_ID;
     104    delete []  in_SPR_WRITE_NUM_REG   ;
     105    delete []  in_SPR_WRITE_DATA      ;
    106106
    107107    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_genMealy_read_queue_out_gpr.cpp

    r54 r55  
    6666      {
    6767        // Test if this bypass is valid
    68         if ( (PORT_READ (in_BYPASS_GPR_WRITE_VAL        [i]) == 1) and
    69              (PORT_READ (in_BYPASS_GPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
     68        if ( (PORT_READ (in_GPR_WRITE_VAL        [i]) == 1) and
     69             (PORT_READ (in_GPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
    7070             )
    7171          {
    72             Tgeneral_address_t bypass_gpr_write_num_reg = PORT_READ(in_BYPASS_GPR_WRITE_NUM_REG [i]);
    73             Tgeneral_data_t    bypass_gpr_write_data    = PORT_READ(in_BYPASS_GPR_WRITE_DATA    [i]);
     72            Tgeneral_address_t gpr_write_num_reg = PORT_READ(in_GPR_WRITE_NUM_REG [i]);
     73            Tgeneral_data_t    gpr_write_data    = PORT_READ(in_GPR_WRITE_DATA    [i]);
    7474           
    75             if (_queue_head->_num_reg_ra == bypass_gpr_write_num_reg)
     75            if (_queue_head->_num_reg_ra == gpr_write_num_reg)
    7676              {
    7777                log_printf(TRACE,Read_queue,FUNCTION," * internal_READ_QUEUE_OUT_DATA_RA_VAL   - bypass hit (%d)",i);
    7878
    7979                internal_READ_QUEUE_OUT_DATA_RA_VAL = 1;
    80                 internal_READ_QUEUE_OUT_DATA_RA     = bypass_gpr_write_data;
     80                internal_READ_QUEUE_OUT_DATA_RA     = gpr_write_data;
    8181              }
    82             if (_queue_head->_num_reg_rb == bypass_gpr_write_num_reg)
     82            if (_queue_head->_num_reg_rb == gpr_write_num_reg)
    8383              {
    8484                log_printf(TRACE,Read_queue,FUNCTION," * internal_READ_QUEUE_OUT_DATA_RB_VAL   - bypass hit (%d)",i);
    8585                internal_READ_QUEUE_OUT_DATA_RB_VAL = 1;
    86                 internal_READ_QUEUE_OUT_DATA_RB     = bypass_gpr_write_data;
     86                internal_READ_QUEUE_OUT_DATA_RB     = gpr_write_data;
    8787              }
    8888          }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_genMealy_read_queue_out_spr.cpp

    r54 r55  
    5151      {
    5252        // Test if this bypass is valid
    53         if ( (PORT_READ (in_BYPASS_SPR_WRITE_VAL        [i]) == 1) and
    54              (PORT_READ (in_BYPASS_SPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
     53        if ( (PORT_READ (in_SPR_WRITE_VAL        [i]) == 1) and
     54             (PORT_READ (in_SPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
    5555             )
    5656          {
    57             if (_queue_head->_num_reg_rc == PORT_READ(in_BYPASS_SPR_WRITE_NUM_REG [i]))
     57            if (_queue_head->_num_reg_rc == PORT_READ(in_SPR_WRITE_NUM_REG [i]))
    5858              {
    5959                log_printf(TRACE,Read_queue,FUNCTION," * internal_READ_QUEUE_OUT_DATA_RC_VAL   - bypass hit (%d)",i);
    6060                internal_READ_QUEUE_OUT_DATA_RC_VAL = 1;
    61                 internal_READ_QUEUE_OUT_DATA_RC     = PORT_READ(in_BYPASS_SPR_WRITE_DATA [i]);
     61                internal_READ_QUEUE_OUT_DATA_RC     = PORT_READ(in_SPR_WRITE_DATA [i]);
    6262              }
    6363          }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_genMealy_read_queue_out_val.cpp

    r54 r55  
    4242      {
    4343        // Test if this bypass is valid
    44         if ( (PORT_READ (in_BYPASS_GPR_WRITE_VAL        [i]) == 1) and
    45              (PORT_READ (in_BYPASS_GPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
     44        if ( (PORT_READ (in_GPR_WRITE_VAL        [i]) == 1) and
     45             (PORT_READ (in_GPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
    4646             )
    4747          {
    48             Tgeneral_address_t bypass_gpr_write_num_reg = PORT_READ(in_BYPASS_GPR_WRITE_NUM_REG [i]);
     48            Tgeneral_address_t gpr_write_num_reg = PORT_READ(in_GPR_WRITE_NUM_REG [i]);
    4949           
    50             if (_queue_head->_num_reg_ra == bypass_gpr_write_num_reg)
     50            if (_queue_head->_num_reg_ra == gpr_write_num_reg)
    5151              internal_READ_QUEUE_OUT_READ_RA_VAL = 0;
    5252
    53             if (_queue_head->_num_reg_rb == bypass_gpr_write_num_reg)
     53            if (_queue_head->_num_reg_rb == gpr_write_num_reg)
    5454              internal_READ_QUEUE_OUT_READ_RB_VAL = 0;
    5555          }
     
    5959      {
    6060        // Test if this bypass is valid
    61         if ( (PORT_READ (in_BYPASS_SPR_WRITE_VAL        [i]) == 1) and
    62              (PORT_READ (in_BYPASS_SPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
     61        if ( (PORT_READ (in_SPR_WRITE_VAL        [i]) == 1) and
     62             (PORT_READ (in_SPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
    6363             )
    6464          {
    65             Tspecial_address_t bypass_spr_write_num_reg = PORT_READ(in_BYPASS_SPR_WRITE_NUM_REG [i]);
     65            Tspecial_address_t spr_write_num_reg = PORT_READ(in_SPR_WRITE_NUM_REG [i]);
    6666           
    67             if (_queue_head->_num_reg_rc == bypass_spr_write_num_reg)
     67            if (_queue_head->_num_reg_rc == spr_write_num_reg)
    6868              internal_READ_QUEUE_OUT_READ_RC_VAL = 0;
    6969          }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_genMoore.cpp

    r54 r55  
    2424    log_printf(FUNC,Read_queue,FUNCTION,"Begin");
    2525
    26     bool not_full  = not (_queue->size() == _param->_size_read_queue);
     26    bool not_full  = not (_queue->size() == _param->_size_queue);
    2727    bool not_empty = not _queue->empty();
    2828
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_transition.cpp

    r54 r55  
    4747        // Write to read_queue
    4848
    49         bool not_full      = not (_queue->size() == _param->_size_read_queue);
     49        bool not_full      = not (_queue->size() == _param->_size_queue);
    5050        bool     empty     =     _queue->empty();
    5151        bool nead_new_head = false;
Note: See TracChangeset for help on using the changeset viewer.