Ignore:
Timestamp:
Sep 24, 2007, 2:00:35 PM (17 years ago)
Author:
rosiere
Message:

Ajout SystemC read_queue et reservation_station
Ajout port au bloc registerfile_monolithic (à ajouter également au bloc registerfile et registerfile_multi_banked)
Modif param : passage de pointeur (attention, tous les composants n'ont pas été tous modifier)

Location:
trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src
Files:
11 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Parameters.cpp

    r54 r55  
    1818
    1919
    20   Parameters::Parameters (uint32_t size_read_queue    ,
     20  Parameters::Parameters (uint32_t size_queue         ,
    2121                          uint32_t nb_context         ,
    2222                          uint32_t nb_packet          ,
     
    2929                          uint32_t nb_gpr_write       ,
    3030                          uint32_t nb_spr_write       ):
    31     _size_read_queue       (size_read_queue      ),
     31    _size_queue            (size_queue           ),
    3232    _nb_context            (nb_context           ),
    3333    _nb_packet             (nb_packet            ),
     
    5656 
    5757  Parameters::Parameters (Parameters & param):
    58     _size_read_queue       (param._size_read_queue       ),
     58    _size_queue            (param._size_queue            ),
    5959    _nb_context            (param._nb_context            ),
    6060    _nb_packet             (param._nb_packet             ),
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Parameters_msg_error.cpp

    r54 r55  
    2727    string msg = "";
    2828
    29     if (_size_read_queue < 2)
     29    if (_size_queue < 2)
    3030      {
    3131        msg += "  - The read_queue must be have less a depth of 2";
    32         msg += "    * size_read_queue                 : " + toString(_size_read_queue)    + "\n";
     32        msg += "    * size_queue                      : " + toString(_size_queue)    + "\n";
    3333      }
    3434   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Parameters_print.cpp

    r54 r55  
    2727
    2828    xml.balise_open("read_queue");
    29     xml.singleton_begin("size_read_queue    "); xml.attribut("value",toString(_size_read_queue    )); xml.singleton_end();
     29    xml.singleton_begin("size_queue         "); xml.attribut("value",toString(_size_queue         )); xml.singleton_end();
    3030    xml.singleton_begin("nb_context         "); xml.attribut("value",toString(_nb_context         )); xml.singleton_end();
    3131    xml.singleton_begin("nb_packet          "); xml.attribut("value",toString(_nb_packet          )); xml.singleton_end();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue.cpp

    r54 r55  
    7777      sensitive << *(in_SPR_READ_ACK      [i]);
    7878    for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    79       sensitive << *(in_BYPASS_GPR_WRITE_VAL        [i])
    80                 << *(in_BYPASS_GPR_WRITE_CONTEXT_ID [i])
    81                 << *(in_BYPASS_GPR_WRITE_NUM_REG    [i]);
     79      sensitive << *(in_GPR_WRITE_VAL        [i])
     80                << *(in_GPR_WRITE_CONTEXT_ID [i])
     81                << *(in_GPR_WRITE_NUM_REG    [i]);
    8282    for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    83       sensitive << *(in_BYPASS_SPR_WRITE_VAL        [i])
    84                 << *(in_BYPASS_SPR_WRITE_CONTEXT_ID [i])
    85                 << *(in_BYPASS_SPR_WRITE_NUM_REG    [i]);
     83      sensitive << *(in_SPR_WRITE_VAL        [i])
     84                << *(in_SPR_WRITE_CONTEXT_ID [i])
     85                << *(in_SPR_WRITE_NUM_REG    [i]);
    8686
    8787#ifdef SYSTEMCASS_SPECIFIC
     
    9393    for (uint32_t i=0; i<_param->_nb_gpr_write ; i++)
    9494      {
    95         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    96         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    97         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
     95        (*(out_READ_QUEUE_OUT_VAL)) (*(in_GPR_WRITE_VAL        [i]));
     96        (*(out_READ_QUEUE_OUT_VAL)) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     97        (*(out_READ_QUEUE_OUT_VAL)) (*(in_GPR_WRITE_NUM_REG    [i]));
    9898      }
    9999    for (uint32_t i=0; i<_param->_nb_spr_write ; i++)
    100100      {
    101         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_SPR_WRITE_VAL        [i]));
    102         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_SPR_WRITE_CONTEXT_ID [i]));
    103         (*(out_READ_QUEUE_OUT_VAL)) (*(in_BYPASS_SPR_WRITE_NUM_REG    [i]));
     101        (*(out_READ_QUEUE_OUT_VAL)) (*(in_SPR_WRITE_VAL        [i]));
     102        (*(out_READ_QUEUE_OUT_VAL)) (*(in_SPR_WRITE_CONTEXT_ID [i]));
     103        (*(out_READ_QUEUE_OUT_VAL)) (*(in_SPR_WRITE_NUM_REG    [i]));
    104104      }
    105105#endif   
     
    115115                << *(in_GPR_READ_DATA_VAL [i]);
    116116    for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    117       sensitive << *(in_BYPASS_GPR_WRITE_VAL        [i])
    118                 << *(in_BYPASS_GPR_WRITE_CONTEXT_ID [i])
    119                 << *(in_BYPASS_GPR_WRITE_NUM_REG    [i])
    120                 << *(in_BYPASS_GPR_WRITE_DATA       [i]);
     117      sensitive << *(in_GPR_WRITE_VAL        [i])
     118                << *(in_GPR_WRITE_CONTEXT_ID [i])
     119                << *(in_GPR_WRITE_NUM_REG    [i])
     120                << *(in_GPR_WRITE_DATA       [i]);
    121121
    122122#ifdef SYSTEMCASS_SPECIFIC
     
    133133    for (uint32_t i=0; i<_param->_nb_gpr_write ; i++)
    134134      {
    135         (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    136         (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    137         (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
    138         (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    139         (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    140         (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
    141 
    142         (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    143         (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    144         (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
    145         (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_BYPASS_GPR_WRITE_DATA       [i]));
    146         (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_BYPASS_GPR_WRITE_VAL        [i]));
    147         (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_BYPASS_GPR_WRITE_CONTEXT_ID [i]));
    148         (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_BYPASS_GPR_WRITE_NUM_REG    [i]));
    149         (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_BYPASS_GPR_WRITE_DATA       [i]));
     135        (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_GPR_WRITE_VAL        [i]));
     136        (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     137        (*(out_READ_QUEUE_OUT_DATA_RA_VAL)) (*(in_GPR_WRITE_NUM_REG    [i]));
     138        (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_GPR_WRITE_VAL        [i]));
     139        (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     140        (*(out_READ_QUEUE_OUT_DATA_RB_VAL)) (*(in_GPR_WRITE_NUM_REG    [i]));
     141
     142        (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_GPR_WRITE_VAL        [i]));
     143        (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     144        (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_GPR_WRITE_NUM_REG    [i]));
     145        (*(out_READ_QUEUE_OUT_DATA_RA    )) (*(in_GPR_WRITE_DATA       [i]));
     146        (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_GPR_WRITE_VAL        [i]));
     147        (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_GPR_WRITE_CONTEXT_ID [i]));
     148        (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_GPR_WRITE_NUM_REG    [i]));
     149        (*(out_READ_QUEUE_OUT_DATA_RB    )) (*(in_GPR_WRITE_DATA       [i]));
    150150      }
    151151#endif   
     
    161161                << *(in_SPR_READ_DATA_VAL [i]);
    162162    for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    163       sensitive << *(in_BYPASS_SPR_WRITE_VAL        [i])
    164                 << *(in_BYPASS_SPR_WRITE_CONTEXT_ID [i])
    165                 << *(in_BYPASS_SPR_WRITE_NUM_REG    [i])
    166                 << *(in_BYPASS_SPR_WRITE_DATA       [i]);
     163      sensitive << *(in_SPR_WRITE_VAL        [i])
     164                << *(in_SPR_WRITE_CONTEXT_ID [i])
     165                << *(in_SPR_WRITE_NUM_REG    [i])
     166                << *(in_SPR_WRITE_DATA       [i]);
    167167
    168168#ifdef SYSTEMCASS_SPECIFIC
     
    176176    for (uint32_t i=0; i<_param->_nb_spr_write ; i++)
    177177      {
    178         (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_BYPASS_SPR_WRITE_VAL        [i]));
    179         (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_BYPASS_SPR_WRITE_CONTEXT_ID [i]));
    180         (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_BYPASS_SPR_WRITE_NUM_REG    [i]));
    181 
    182         (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_BYPASS_SPR_WRITE_VAL        [i]));
    183         (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_BYPASS_SPR_WRITE_CONTEXT_ID [i]));
    184         (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_BYPASS_SPR_WRITE_NUM_REG    [i]));
    185         (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_BYPASS_SPR_WRITE_DATA       [i]));
     178        (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_SPR_WRITE_VAL        [i]));
     179        (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_SPR_WRITE_CONTEXT_ID [i]));
     180        (*(out_READ_QUEUE_OUT_DATA_RC_VAL)) (*(in_SPR_WRITE_NUM_REG    [i]));
     181
     182        (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_SPR_WRITE_VAL        [i]));
     183        (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_SPR_WRITE_CONTEXT_ID [i]));
     184        (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_SPR_WRITE_NUM_REG    [i]));
     185        (*(out_READ_QUEUE_OUT_DATA_RC    )) (*(in_SPR_WRITE_DATA       [i]));
    186186      }
    187187#endif   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_allocation.cpp

    r54 r55  
    170170      }
    171171
    172     // ~~~~~[ Interface : "bypass_gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    173    
    174      in_BYPASS_GPR_WRITE_VAL       = new SC_IN (Tcontrol_t        ) * [_param->_nb_gpr_write];
    175      in_BYPASS_GPR_WRITE_CONTEXT_ID= new SC_IN (Tcontext_t        ) * [_param->_nb_gpr_write];
    176      in_BYPASS_GPR_WRITE_NUM_REG   = new SC_IN (Tgeneral_address_t) * [_param->_nb_gpr_write];
    177      in_BYPASS_GPR_WRITE_DATA      = new SC_IN (Tgeneral_data_t   ) * [_param->_nb_gpr_write];
     172    // ~~~~~[ Interface : "gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     173   
     174     in_GPR_WRITE_VAL       = new SC_IN (Tcontrol_t        ) * [_param->_nb_gpr_write];
     175     in_GPR_WRITE_CONTEXT_ID= new SC_IN (Tcontext_t        ) * [_param->_nb_gpr_write];
     176     in_GPR_WRITE_NUM_REG   = new SC_IN (Tgeneral_address_t) * [_param->_nb_gpr_write];
     177     in_GPR_WRITE_DATA      = new SC_IN (Tgeneral_data_t   ) * [_param->_nb_gpr_write];
    178178
    179179    for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    180180      {
    181         Interface_fifo * interface = _interfaces->set_interface("bypass_gpr_write_"+toString(i)
     181        Interface_fifo * interface = _interfaces->set_interface("gpr_write_"+toString(i)
    182182#ifdef POSITION
    183183                                                                , IN 
     
    187187                                                                );
    188188
    189          in_BYPASS_GPR_WRITE_VAL        [i] = interface->set_signal_valack_in        ("val"    , VAL);
    190          in_BYPASS_GPR_WRITE_CONTEXT_ID [i] = interface->set_signal_in  <Tcontext_t        > ("context_id",_param->_size_context_id);
    191          in_BYPASS_GPR_WRITE_NUM_REG    [i] = interface->set_signal_in  <Tgeneral_address_t> ("num_reg"   ,_param->_size_general_register);
    192          in_BYPASS_GPR_WRITE_DATA       [i] = interface->set_signal_in  <Tgeneral_data_t   > ("data"      ,_param->_size_general_data);
    193       }
    194 
    195     // ~~~~~[ Interface : "bypass_spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    196    
    197      in_BYPASS_SPR_WRITE_VAL       = new SC_IN (Tcontrol_t        ) * [_param->_nb_spr_write];
    198      in_BYPASS_SPR_WRITE_CONTEXT_ID= new SC_IN (Tcontext_t        ) * [_param->_nb_spr_write];
    199      in_BYPASS_SPR_WRITE_NUM_REG   = new SC_IN (Tspecial_address_t) * [_param->_nb_spr_write];
    200      in_BYPASS_SPR_WRITE_DATA      = new SC_IN (Tspecial_data_t   ) * [_param->_nb_spr_write];
     189         in_GPR_WRITE_VAL        [i] = interface->set_signal_valack_in        ("val"    , VAL);
     190         in_GPR_WRITE_CONTEXT_ID [i] = interface->set_signal_in  <Tcontext_t        > ("context_id",_param->_size_context_id);
     191         in_GPR_WRITE_NUM_REG    [i] = interface->set_signal_in  <Tgeneral_address_t> ("num_reg"   ,_param->_size_general_register);
     192         in_GPR_WRITE_DATA       [i] = interface->set_signal_in  <Tgeneral_data_t   > ("data"      ,_param->_size_general_data);
     193      }
     194
     195    // ~~~~~[ Interface : "spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     196   
     197     in_SPR_WRITE_VAL       = new SC_IN (Tcontrol_t        ) * [_param->_nb_spr_write];
     198     in_SPR_WRITE_CONTEXT_ID= new SC_IN (Tcontext_t        ) * [_param->_nb_spr_write];
     199     in_SPR_WRITE_NUM_REG   = new SC_IN (Tspecial_address_t) * [_param->_nb_spr_write];
     200     in_SPR_WRITE_DATA      = new SC_IN (Tspecial_data_t   ) * [_param->_nb_spr_write];
    201201
    202202    for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    203203      {
    204         Interface_fifo * interface = _interfaces->set_interface("bypass_spr_write_"+toString(i)
     204        Interface_fifo * interface = _interfaces->set_interface("spr_write_"+toString(i)
    205205#ifdef POSITION
    206206                                                                , IN 
     
    210210                                                                );
    211211
    212          in_BYPASS_SPR_WRITE_VAL        [i] = interface->set_signal_valack_in        ("val"    , VAL);
    213          in_BYPASS_SPR_WRITE_CONTEXT_ID [i] = interface->set_signal_in  <Tcontext_t        > ("context_id",_param->_size_context_id);
    214          in_BYPASS_SPR_WRITE_NUM_REG    [i] = interface->set_signal_in  <Tspecial_address_t> ("num_reg"   ,_param->_size_special_register);
    215          in_BYPASS_SPR_WRITE_DATA       [i] = interface->set_signal_in  <Tspecial_data_t   > ("data"      ,_param->_size_special_data);
     212         in_SPR_WRITE_VAL        [i] = interface->set_signal_valack_in        ("val"    , VAL);
     213         in_SPR_WRITE_CONTEXT_ID [i] = interface->set_signal_in  <Tcontext_t        > ("context_id",_param->_size_context_id);
     214         in_SPR_WRITE_NUM_REG    [i] = interface->set_signal_in  <Tspecial_address_t> ("num_reg"   ,_param->_size_special_register);
     215         in_SPR_WRITE_DATA       [i] = interface->set_signal_in  <Tspecial_data_t   > ("data"      ,_param->_size_special_data);
    216216      }
    217217
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_deallocation.cpp

    r54 r55  
    9191    delete []  in_SPR_READ_DATA_VAL  ;
    9292
    93     // ~~~~~[ Interface : "bypass_gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     93    // ~~~~~[ Interface : "gpr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    9494   
    95     delete []  in_BYPASS_GPR_WRITE_VAL       ;
    96     delete []  in_BYPASS_GPR_WRITE_CONTEXT_ID;
    97     delete []  in_BYPASS_GPR_WRITE_NUM_REG   ;
    98     delete []  in_BYPASS_GPR_WRITE_DATA      ;
     95    delete []  in_GPR_WRITE_VAL       ;
     96    delete []  in_GPR_WRITE_CONTEXT_ID;
     97    delete []  in_GPR_WRITE_NUM_REG   ;
     98    delete []  in_GPR_WRITE_DATA      ;
    9999
    100     // ~~~~~[ Interface : "bypass_spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     100    // ~~~~~[ Interface : "spr_write" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    101101   
    102     delete []  in_BYPASS_SPR_WRITE_VAL       ;
    103     delete []  in_BYPASS_SPR_WRITE_CONTEXT_ID;
    104     delete []  in_BYPASS_SPR_WRITE_NUM_REG   ;
    105     delete []  in_BYPASS_SPR_WRITE_DATA      ;
     102    delete []  in_SPR_WRITE_VAL       ;
     103    delete []  in_SPR_WRITE_CONTEXT_ID;
     104    delete []  in_SPR_WRITE_NUM_REG   ;
     105    delete []  in_SPR_WRITE_DATA      ;
    106106
    107107    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_genMealy_read_queue_out_gpr.cpp

    r54 r55  
    6666      {
    6767        // Test if this bypass is valid
    68         if ( (PORT_READ (in_BYPASS_GPR_WRITE_VAL        [i]) == 1) and
    69              (PORT_READ (in_BYPASS_GPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
     68        if ( (PORT_READ (in_GPR_WRITE_VAL        [i]) == 1) and
     69             (PORT_READ (in_GPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
    7070             )
    7171          {
    72             Tgeneral_address_t bypass_gpr_write_num_reg = PORT_READ(in_BYPASS_GPR_WRITE_NUM_REG [i]);
    73             Tgeneral_data_t    bypass_gpr_write_data    = PORT_READ(in_BYPASS_GPR_WRITE_DATA    [i]);
     72            Tgeneral_address_t gpr_write_num_reg = PORT_READ(in_GPR_WRITE_NUM_REG [i]);
     73            Tgeneral_data_t    gpr_write_data    = PORT_READ(in_GPR_WRITE_DATA    [i]);
    7474           
    75             if (_queue_head->_num_reg_ra == bypass_gpr_write_num_reg)
     75            if (_queue_head->_num_reg_ra == gpr_write_num_reg)
    7676              {
    7777                log_printf(TRACE,Read_queue,FUNCTION," * internal_READ_QUEUE_OUT_DATA_RA_VAL   - bypass hit (%d)",i);
    7878
    7979                internal_READ_QUEUE_OUT_DATA_RA_VAL = 1;
    80                 internal_READ_QUEUE_OUT_DATA_RA     = bypass_gpr_write_data;
     80                internal_READ_QUEUE_OUT_DATA_RA     = gpr_write_data;
    8181              }
    82             if (_queue_head->_num_reg_rb == bypass_gpr_write_num_reg)
     82            if (_queue_head->_num_reg_rb == gpr_write_num_reg)
    8383              {
    8484                log_printf(TRACE,Read_queue,FUNCTION," * internal_READ_QUEUE_OUT_DATA_RB_VAL   - bypass hit (%d)",i);
    8585                internal_READ_QUEUE_OUT_DATA_RB_VAL = 1;
    86                 internal_READ_QUEUE_OUT_DATA_RB     = bypass_gpr_write_data;
     86                internal_READ_QUEUE_OUT_DATA_RB     = gpr_write_data;
    8787              }
    8888          }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_genMealy_read_queue_out_spr.cpp

    r54 r55  
    5151      {
    5252        // Test if this bypass is valid
    53         if ( (PORT_READ (in_BYPASS_SPR_WRITE_VAL        [i]) == 1) and
    54              (PORT_READ (in_BYPASS_SPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
     53        if ( (PORT_READ (in_SPR_WRITE_VAL        [i]) == 1) and
     54             (PORT_READ (in_SPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
    5555             )
    5656          {
    57             if (_queue_head->_num_reg_rc == PORT_READ(in_BYPASS_SPR_WRITE_NUM_REG [i]))
     57            if (_queue_head->_num_reg_rc == PORT_READ(in_SPR_WRITE_NUM_REG [i]))
    5858              {
    5959                log_printf(TRACE,Read_queue,FUNCTION," * internal_READ_QUEUE_OUT_DATA_RC_VAL   - bypass hit (%d)",i);
    6060                internal_READ_QUEUE_OUT_DATA_RC_VAL = 1;
    61                 internal_READ_QUEUE_OUT_DATA_RC     = PORT_READ(in_BYPASS_SPR_WRITE_DATA [i]);
     61                internal_READ_QUEUE_OUT_DATA_RC     = PORT_READ(in_SPR_WRITE_DATA [i]);
    6262              }
    6363          }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_genMealy_read_queue_out_val.cpp

    r54 r55  
    4242      {
    4343        // Test if this bypass is valid
    44         if ( (PORT_READ (in_BYPASS_GPR_WRITE_VAL        [i]) == 1) and
    45              (PORT_READ (in_BYPASS_GPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
     44        if ( (PORT_READ (in_GPR_WRITE_VAL        [i]) == 1) and
     45             (PORT_READ (in_GPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
    4646             )
    4747          {
    48             Tgeneral_address_t bypass_gpr_write_num_reg = PORT_READ(in_BYPASS_GPR_WRITE_NUM_REG [i]);
     48            Tgeneral_address_t gpr_write_num_reg = PORT_READ(in_GPR_WRITE_NUM_REG [i]);
    4949           
    50             if (_queue_head->_num_reg_ra == bypass_gpr_write_num_reg)
     50            if (_queue_head->_num_reg_ra == gpr_write_num_reg)
    5151              internal_READ_QUEUE_OUT_READ_RA_VAL = 0;
    5252
    53             if (_queue_head->_num_reg_rb == bypass_gpr_write_num_reg)
     53            if (_queue_head->_num_reg_rb == gpr_write_num_reg)
    5454              internal_READ_QUEUE_OUT_READ_RB_VAL = 0;
    5555          }
     
    5959      {
    6060        // Test if this bypass is valid
    61         if ( (PORT_READ (in_BYPASS_SPR_WRITE_VAL        [i]) == 1) and
    62              (PORT_READ (in_BYPASS_SPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
     61        if ( (PORT_READ (in_SPR_WRITE_VAL        [i]) == 1) and
     62             (PORT_READ (in_SPR_WRITE_CONTEXT_ID [i]) == _queue_head->_context_id)
    6363             )
    6464          {
    65             Tspecial_address_t bypass_spr_write_num_reg = PORT_READ(in_BYPASS_SPR_WRITE_NUM_REG [i]);
     65            Tspecial_address_t spr_write_num_reg = PORT_READ(in_SPR_WRITE_NUM_REG [i]);
    6666           
    67             if (_queue_head->_num_reg_rc == bypass_spr_write_num_reg)
     67            if (_queue_head->_num_reg_rc == spr_write_num_reg)
    6868              internal_READ_QUEUE_OUT_READ_RC_VAL = 0;
    6969          }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_genMoore.cpp

    r54 r55  
    2424    log_printf(FUNC,Read_queue,FUNCTION,"Begin");
    2525
    26     bool not_full  = not (_queue->size() == _param->_size_read_queue);
     26    bool not_full  = not (_queue->size() == _param->_size_queue);
    2727    bool not_empty = not _queue->empty();
    2828
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_transition.cpp

    r54 r55  
    4747        // Write to read_queue
    4848
    49         bool not_full      = not (_queue->size() == _param->_size_read_queue);
     49        bool not_full      = not (_queue->size() == _param->_size_queue);
    5050        bool     empty     =     _queue->empty();
    5151        bool nead_new_head = false;
Note: See TracChangeset for help on using the changeset viewer.