Ignore:
Timestamp:
Dec 10, 2008, 7:31:39 PM (16 years ago)
Author:
rosiere
Message:

Almost complete design
with Test and test platform

Location:
trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit
Files:
37 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/SelfTest/Makefile

    r81 r88  
    2424library_clean                   : Execute_queue_library_clean
    2525
     26local_clean                     :
     27
    2628include                         $(DIR_COMPONENT)/Makefile.deps
    2729include                         $(DIR_MORPHEO)/Behavioural/Makefile.flags
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/SelfTest/configuration.cfg

    r81 r88  
    11Execute_queue
    2 8       8       *2 # uint32_t size_queue         
     28       16      *2 # uint32_t size_queue         
    331       1       *2 # uint32_t nb_context         
    441       1       *2 # uint32_t nb_front_end       
    551       4       *2 # uint32_t nb_ooo_engine     
    6 32      32      *2 # uint32_t nb_packet         
     664      64      *2 # uint32_t nb_packet         
    7732      32      *2 # uint32_t size_general_data 
    882       2       *2 # uint32_t size_special_data 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/SelfTest/src/main.cpp

    r81 r88  
    5454         nb_packet          ,
    5555         size_general_data  ,
    56          size_special_data  );
     56         size_special_data  ,
     57         true // is_toplevel
     58         );
    5759     
    5860      msg(_("%s"),param->print(1).c_str());
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/SelfTest/src/test.cpp

    r82 r88  
    77 */
    88
    9 #define NB_ITERATION  1
     9#define NB_ITERATION  16
    1010#define CYCLE_MAX     (128*NB_ITERATION)
    1111
     
    2727  public  : Tcontrol_t         _no_sequence  ;
    2828  public  : Tgeneral_data_t    _address      ;
     29  public  : Tgeneral_data_t    _data         ;
    2930   
    3031  public  : entry_t (Tcontext_t         context_id   ,
     
    3738                     Texception_t       exception    ,
    3839                     Tcontrol_t         no_sequence  ,
    39                      Tgeneral_data_t    address      )
     40                     Tgeneral_data_t    address      ,
     41                     Tgeneral_data_t    data         )
    4042    {
    4143      _context_id    = context_id   ;
     
    4951      _no_sequence   = no_sequence  ;
    5052      _address       = address      ;
     53      _data          = data         ;
    5154    };
    5255
     
    6366                    << " * _exception     : " << toString(x._exception    ) << std::endl
    6467                    << " * _no_sequence   : " << toString(x._no_sequence  ) << std::endl
    65                     << " * _address       : " << toString(x._address      ) << std::endl;
     68                    << " * _address       : " << toString(x._address      ) << std::endl
     69                    << " * _data          : " << toString(x._data         ) << std::endl;
    6670
    6771      return output_stream;
     
    8084  morpheo::behavioural::Parameters_Statistics * _parameters_statistics = new morpheo::behavioural::Parameters_Statistics (5,50);
    8185#endif
     86
     87  Tusage_t _usage = USE_ALL;
     88
     89//   _usage = usage_unset(_usage,USE_SYSTEMC              );
     90//   _usage = usage_unset(_usage,USE_VHDL                 );
     91//   _usage = usage_unset(_usage,USE_VHDL_TESTBENCH       );
     92//   _usage = usage_unset(_usage,USE_VHDL_TESTBENCH_ASSERT);
     93//   _usage = usage_unset(_usage,USE_POSITION             );
     94//   _usage = usage_unset(_usage,USE_STATISTICS           );
     95//   _usage = usage_unset(_usage,USE_INFORMATION          );
    8296
    8397  Execute_queue * _Execute_queue = new Execute_queue
     
    87101#endif
    88102     _param,
    89      USE_ALL);
     103     _usage);
    90104 
    91105#ifdef SYSTEMC
     
    110124  ALLOC_SC_SIGNAL ( in_EXECUTE_QUEUE_IN_NO_SEQUENCE   ," in_EXECUTE_QUEUE_IN_NO_SEQUENCE"   , Tcontrol_t        );
    111125  ALLOC_SC_SIGNAL ( in_EXECUTE_QUEUE_IN_ADDRESS       ," in_EXECUTE_QUEUE_IN_ADDRESS"       , Tgeneral_data_t   );
     126  ALLOC_SC_SIGNAL ( in_EXECUTE_QUEUE_IN_DATA          ," in_EXECUTE_QUEUE_IN_DATA"          , Tgeneral_data_t   );
    112127  ALLOC_SC_SIGNAL (out_EXECUTE_QUEUE_OUT_VAL          ,"out_EXECUTE_QUEUE_OUT_VAL"          , Tcontrol_t        );
    113128  ALLOC_SC_SIGNAL ( in_EXECUTE_QUEUE_OUT_ACK          ," in_EXECUTE_QUEUE_OUT_ACK"          , Tcontrol_t        );
     
    122137  ALLOC_SC_SIGNAL (out_EXECUTE_QUEUE_OUT_NO_SEQUENCE  ,"out_EXECUTE_QUEUE_OUT_NO_SEQUENCE"  , Tcontrol_t        );
    123138  ALLOC_SC_SIGNAL (out_EXECUTE_QUEUE_OUT_ADDRESS      ,"out_EXECUTE_QUEUE_OUT_ADDRESS"      , Tgeneral_data_t   );
     139  ALLOC_SC_SIGNAL (out_EXECUTE_QUEUE_OUT_DATA         ,"out_EXECUTE_QUEUE_OUT_DATA"         , Tgeneral_data_t   );
    124140
    125141 
     
    141157  if (_param->_have_port_ooo_engine_id)
    142158  INSTANCE_SC_SIGNAL (_Execute_queue,  in_EXECUTE_QUEUE_IN_OOO_ENGINE_ID );
    143   if (_param->_have_port_packet_id)
     159  if (_param->_have_port_rob_ptr)
    144160  INSTANCE_SC_SIGNAL (_Execute_queue,  in_EXECUTE_QUEUE_IN_PACKET_ID     );
    145161//INSTANCE_SC_SIGNAL (_Execute_queue,  in_EXECUTE_QUEUE_IN_OPERATION     );
     
    149165  INSTANCE_SC_SIGNAL (_Execute_queue,  in_EXECUTE_QUEUE_IN_NO_SEQUENCE   );
    150166  INSTANCE_SC_SIGNAL (_Execute_queue,  in_EXECUTE_QUEUE_IN_ADDRESS       );
     167  INSTANCE_SC_SIGNAL (_Execute_queue,  in_EXECUTE_QUEUE_IN_DATA          );
    151168
    152169  INSTANCE_SC_SIGNAL (_Execute_queue, out_EXECUTE_QUEUE_OUT_VAL          );
     
    158175  if (_param->_have_port_ooo_engine_id)
    159176  INSTANCE_SC_SIGNAL (_Execute_queue, out_EXECUTE_QUEUE_OUT_OOO_ENGINE_ID);
    160   if (_param->_have_port_packet_id)
     177  if (_param->_have_port_rob_ptr)
    161178  INSTANCE_SC_SIGNAL (_Execute_queue, out_EXECUTE_QUEUE_OUT_PACKET_ID    );
    162179//INSTANCE_SC_SIGNAL (_Execute_queue, out_EXECUTE_QUEUE_OUT_OPERATION    );
     
    166183  INSTANCE_SC_SIGNAL (_Execute_queue, out_EXECUTE_QUEUE_OUT_NO_SEQUENCE  );
    167184  INSTANCE_SC_SIGNAL (_Execute_queue, out_EXECUTE_QUEUE_OUT_ADDRESS      );
     185  INSTANCE_SC_SIGNAL (_Execute_queue, out_EXECUTE_QUEUE_OUT_DATA         );
    168186
    169187  msg(_("<%s> : Start Simulation ............\n"),name.c_str());
     
    212230                                     range<Texception_t      >(rand(),_param->_size_exception       ),
    213231                                     range<Tcontrol_t        >(rand(),1                             ),
     232                                     range<Tgeneral_data_t   >(rand(),_param->_size_general_data    ),
    214233                                     range<Tgeneral_data_t   >(rand(),_param->_size_general_data    ));
    215234        }
     
    236255            in_EXECUTE_QUEUE_IN_NO_SEQUENCE  ->write(request [nb_request_in]->_no_sequence  );
    237256            in_EXECUTE_QUEUE_IN_ADDRESS      ->write(request [nb_request_in]->_address      );
     257            in_EXECUTE_QUEUE_IN_DATA         ->write(request [nb_request_in]->_data         );
    238258          }
    239259        in_EXECUTE_QUEUE_OUT_ACK         ->write((rand()%100)<percent_transaction_execute_queue_out);
     
    261281          {
    262282            Tcontext_t packet;
    263             if (_param->_have_port_packet_id)
     283            if (_param->_have_port_rob_ptr)
    264284              packet = out_EXECUTE_QUEUE_OUT_PACKET_ID->read();
    265285            else
     
    281301            TEST(Tcontrol_t     , out_EXECUTE_QUEUE_OUT_NO_SEQUENCE  ->read(), request [packet]->_no_sequence  );
    282302            TEST(Tgeneral_data_t, out_EXECUTE_QUEUE_OUT_ADDRESS      ->read(), request [packet]->_address      );
     303            TEST(Tgeneral_data_t, out_EXECUTE_QUEUE_OUT_DATA         ->read(), request [packet]->_data         );
    283304
    284305            nb_request_out ++;
     
    313334  delete     in_EXECUTE_QUEUE_IN_NO_SEQUENCE   ;
    314335  delete     in_EXECUTE_QUEUE_IN_ADDRESS       ;
     336  delete     in_EXECUTE_QUEUE_IN_DATA          ;
    315337
    316338  delete    out_EXECUTE_QUEUE_OUT_VAL          ;
     
    326348  delete    out_EXECUTE_QUEUE_OUT_NO_SEQUENCE  ;
    327349  delete    out_EXECUTE_QUEUE_OUT_ADDRESS      ;
     350  delete    out_EXECUTE_QUEUE_OUT_DATA         ;
    328351#endif
    329352
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/include/Execute_queue.h

    r82 r88  
    7979  public    : SC_IN (Tcontrol_t        )    *  in_EXECUTE_QUEUE_IN_NO_SEQUENCE   ;
    8080  public    : SC_IN (Tgeneral_data_t   )    *  in_EXECUTE_QUEUE_IN_ADDRESS       ;
     81  public    : SC_IN (Tgeneral_data_t   )    *  in_EXECUTE_QUEUE_IN_DATA          ;
    8182
    8283    // -----[ Interface "Execute_queue_out" ]-----------------------------
     
    9394  public    : SC_OUT(Tcontrol_t        )    * out_EXECUTE_QUEUE_OUT_NO_SEQUENCE  ;
    9495  public    : SC_OUT(Tgeneral_data_t   )    * out_EXECUTE_QUEUE_OUT_ADDRESS      ;
     96  public    : SC_OUT(Tgeneral_data_t   )    * out_EXECUTE_QUEUE_OUT_DATA         ;
    9597
    9698    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/include/Parameters.h

    r81 r88  
    2626  {
    2727    //-----[ fields ]------------------------------------------------------------
    28   public : const uint32_t            _size_queue                   ;
    29   public : const uint32_t            _nb_context                   ;
    30   public : const uint32_t            _nb_front_end                 ;
    31   public : const uint32_t            _nb_ooo_engine                ;
    32   public : const uint32_t            _nb_packet                    ;
    33   public : const uint32_t            _size_general_data            ;
    34   public : const uint32_t            _size_special_data            ;
     28  public : uint32_t            _size_queue                   ;
     29  public : uint32_t            _nb_context                   ;
     30  public : uint32_t            _nb_front_end                 ;
     31  public : uint32_t            _nb_ooo_engine                ;
     32  public : uint32_t            _nb_packet                    ;
     33//public : uint32_t            _size_general_data            ;
     34//public : uint32_t            _size_special_data            ;
    3535
    36   public : const uint32_t            _size_context_id              ;
    37   public : const uint32_t            _size_front_end_id            ;
    38   public : const uint32_t            _size_ooo_engine_id           ;
    39   public : const uint32_t            _size_packet_id               ;
     36//public : uint32_t            _size_context_id              ;
     37//public : uint32_t            _size_front_end_id            ;
     38//public : uint32_t            _size_ooo_engine_id           ;
     39//public : uint32_t            _size_packet_id               ;
    4040
    41   public : const bool                _have_port_context_id         ;
    42   public : const bool                _have_port_front_end_id       ;
    43   public : const bool                _have_port_ooo_engine_id      ;
    44   public : const bool                _have_port_packet_id          ;
     41//public : bool                _have_port_context_id         ;
     42//public : bool                _have_port_front_end_id       ;
     43//public : bool                _have_port_ooo_engine_id      ;
     44//public : bool                _have_port_packet_id          ;
    4545
    4646    //-----[ methods ]-----------------------------------------------------------
     
    5151                        uint32_t nb_packet          ,
    5252                        uint32_t size_general_data  ,
    53                         uint32_t size_special_data  );
    54   public : Parameters  (Parameters & param) ;
     53                        uint32_t size_special_data  ,
     54                        bool     is_toplevel=true);
     55//public : Parameters  (Parameters & param) ;
    5556  public : ~Parameters () ;
     57
     58  public :        void            copy       (void);
    5659
    5760  public :        Parameters_test msg_error  (void);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/include/Types.h

    r82 r88  
    3232  public  : Tcontrol_t         _no_sequence  ;
    3333  public  : Tgeneral_data_t    _address      ;
     34  public  : Tgeneral_data_t    _data         ;
    3435   
    3536  public  : execute_queue_entry_t (Tcontext_t         context_id   ,
     
    4243                                   Texception_t       exception    ,
    4344                                   Tcontrol_t         no_sequence  ,
    44                                    Tgeneral_data_t    address      )
     45                                   Tgeneral_data_t    address      ,
     46                                   Tgeneral_data_t    data         )
    4547    {
    4648      _context_id    = context_id   ;
     
    5456      _no_sequence   = no_sequence  ;
    5557      _address       = address      ;
     58      _data          = data         ;
    5659    };
    5760  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue.cpp

    r81 r88  
    3939    log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
    4040
     41#if DEBUG_Execute_queue == true
     42    log_printf(INFO,Execute_queue,FUNCTION,_("<%s> Parameters"),_name.c_str());
     43
     44    std::cout << *param << std::endl;
     45#endif   
     46
    4147    log_printf(INFO,Execute_queue,FUNCTION,"Allocation");
    4248    allocation ();
    4349
    4450#ifdef STATISTICS
    45     if (_usage & USE_STATISTICS)
     51    if (usage_is_set(_usage,USE_STATISTICS))
    4652      {
    4753        log_printf(INFO,Execute_queue,FUNCTION,"Allocation of statistics");
     
    5258
    5359#ifdef VHDL
    54     if (_usage & USE_VHDL)
     60    if (usage_is_set(_usage,USE_VHDL))
    5561      {
    5662        // generate the vhdl
     
    6268
    6369#ifdef SYSTEMC
    64     if (_usage & USE_SYSTEMC)
     70    if (usage_is_set(_usage,USE_SYSTEMC))
    6571      {
    6672        log_printf(INFO,Execute_queue,FUNCTION,"Method - transition");
     
    96102
    97103#ifdef STATISTICS
    98     if (_usage & USE_STATISTICS)
     104    if (usage_is_set(_usage,USE_STATISTICS))
    99105      {
    100106        log_printf(INFO,Execute_queue,FUNCTION,"Generate Statistics file");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue_allocation.cpp

    r81 r88  
    1717namespace write_unit {
    1818namespace execute_queue {
    19 
    20 
    2119
    2220#undef  FUNCTION
     
    6260       if(_param->_have_port_ooo_engine_id)
    6361       ALLOC_SIGNAL_IN ( in_EXECUTE_QUEUE_IN_OOO_ENGINE_ID,"ooo_engine_id",Tcontext_t        ,_param->_size_ooo_engine_id    );
    64        if(_param->_have_port_packet_id)
    65        ALLOC_SIGNAL_IN ( in_EXECUTE_QUEUE_IN_PACKET_ID    ,"packet_id"    ,Tpacket_t         ,_param->_size_packet_id        );
     62       if(_param->_have_port_rob_ptr)
     63       ALLOC_SIGNAL_IN ( in_EXECUTE_QUEUE_IN_PACKET_ID    ,"packet_id"    ,Tpacket_t         ,_param->_size_rob_ptr        );
    6664//     ALLOC_SIGNAL_IN ( in_EXECUTE_QUEUE_IN_OPERATION    ,"operation"    ,Toperation_t      ,_param->_size_operation        );
    6765//     ALLOC_SIGNAL_IN ( in_EXECUTE_QUEUE_IN_TYPE         ,"type"         ,Ttype_t           ,_param->_size_type             );
     
    7068       ALLOC_SIGNAL_IN ( in_EXECUTE_QUEUE_IN_NO_SEQUENCE  ,"no_sequence"  ,Tcontrol_t        ,1                              );
    7169       ALLOC_SIGNAL_IN ( in_EXECUTE_QUEUE_IN_ADDRESS      ,"address"      ,Tgeneral_data_t   ,_param->_size_general_data     );
     70       ALLOC_SIGNAL_IN ( in_EXECUTE_QUEUE_IN_DATA         ,"data"         ,Tgeneral_data_t   ,_param->_size_general_data     );
    7271     }
    7372
     
    8483       if(_param->_have_port_ooo_engine_id)
    8584       ALLOC_SIGNAL_OUT(out_EXECUTE_QUEUE_OUT_OOO_ENGINE_ID,"ooo_engine_id",Tcontext_t     ,_param->_size_ooo_engine_id);
    86        if(_param->_have_port_packet_id)
    87        ALLOC_SIGNAL_OUT(out_EXECUTE_QUEUE_OUT_PACKET_ID    ,"packet_id"    ,Tpacket_t      ,_param->_size_packet_id    );
     85       if(_param->_have_port_rob_ptr)
     86       ALLOC_SIGNAL_OUT(out_EXECUTE_QUEUE_OUT_PACKET_ID    ,"packet_id"    ,Tpacket_t      ,_param->_size_rob_ptr    );
    8887//     ALLOC_SIGNAL_OUT(out_EXECUTE_QUEUE_OUT_OPERATION    ,"operation"    ,Toperation_t   ,_param->_size_operation    );
    8988//     ALLOC_SIGNAL_OUT(out_EXECUTE_QUEUE_OUT_TYPE         ,"type"         ,Ttype_t        ,_param->_size_type         );
     
    9291       ALLOC_SIGNAL_OUT(out_EXECUTE_QUEUE_OUT_NO_SEQUENCE  ,"no_sequence"  ,Tcontrol_t     ,1                          );
    9392       ALLOC_SIGNAL_OUT(out_EXECUTE_QUEUE_OUT_ADDRESS      ,"address"      ,Tgeneral_data_t,_param->_size_general_data );
     93       ALLOC_SIGNAL_OUT(out_EXECUTE_QUEUE_OUT_DATA         ,"data"         ,Tgeneral_data_t,_param->_size_general_data );
    9494     }
    9595
     
    9999
    100100#ifdef POSITION
    101     _component->generate_file();
     101     if (usage_is_set(_usage,USE_POSITION))
     102       _component->generate_file();
    102103#endif
    103104
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue_deallocation.cpp

    r81 r88  
    2424    log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
    2525
    26     if (_usage & USE_SYSTEMC)
     26    if (usage_is_set(_usage,USE_SYSTEMC))
    2727      {
    2828        delete    in_CLOCK ;
     
    3838        if (_param->_have_port_ooo_engine_id)
    3939        delete      in_EXECUTE_QUEUE_IN_OOO_ENGINE_ID;
    40         if (_param->_have_port_packet_id)
     40        if (_param->_have_port_rob_ptr)
    4141        delete      in_EXECUTE_QUEUE_IN_PACKET_ID    ;
    4242//      delete      in_EXECUTE_QUEUE_IN_OPERATION    ;
     
    4646        delete      in_EXECUTE_QUEUE_IN_NO_SEQUENCE  ;
    4747        delete      in_EXECUTE_QUEUE_IN_ADDRESS      ;
     48        delete      in_EXECUTE_QUEUE_IN_DATA         ;
    4849
    4950        // -----[ Interface "execute_queue_out" ]-------------------------------   
     
    5657        if (_param->_have_port_ooo_engine_id)
    5758        delete     out_EXECUTE_QUEUE_OUT_OOO_ENGINE_ID;
    58         if (_param->_have_port_packet_id)
     59        if (_param->_have_port_rob_ptr)
    5960        delete     out_EXECUTE_QUEUE_OUT_PACKET_ID    ;
    6061//      delete     out_EXECUTE_QUEUE_OUT_OPERATION    ;
     
    6465        delete     out_EXECUTE_QUEUE_OUT_NO_SEQUENCE  ;
    6566        delete     out_EXECUTE_QUEUE_OUT_ADDRESS      ;
     67        delete     out_EXECUTE_QUEUE_OUT_DATA         ;
    6668      }
    6769
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue_end_cycle.cpp

    r81 r88  
    2626
    2727#ifdef STATISTICS
    28     _stat->end_cycle();
     28    if (usage_is_set(_usage,USE_STATISTICS))
     29      _stat->end_cycle();
    2930#endif   
    3031
     
    3233    // Evaluation before read the ouput signal
    3334//  sc_start(0);
    34     _interfaces->testbench();
     35    if (usage_is_set(_usage,USE_VHDL_TESTBENCH))
     36      _interfaces->testbench();
    3537#endif
    3638
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue_genMoore.cpp

    r81 r88  
    4747          if (_param->_have_port_ooo_engine_id)
    4848          PORT_WRITE(out_EXECUTE_QUEUE_OUT_OOO_ENGINE_ID, _queue->front()->_ooo_engine_id);
    49           if (_param->_have_port_packet_id)
     49          if (_param->_have_port_rob_ptr)
    5050          PORT_WRITE(out_EXECUTE_QUEUE_OUT_PACKET_ID    , _queue->front()->_packet_id    );
    5151//        PORT_WRITE(out_EXECUTE_QUEUE_OUT_OPERATION    , _queue->front()->_operation    );
     
    5555          PORT_WRITE(out_EXECUTE_QUEUE_OUT_NO_SEQUENCE  , _queue->front()->_no_sequence  );
    5656          PORT_WRITE(out_EXECUTE_QUEUE_OUT_ADDRESS      , _queue->front()->_address      );
     57          PORT_WRITE(out_EXECUTE_QUEUE_OUT_DATA         , _queue->front()->_data         );
    5758        }
    5859    }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue_transition.cpp

    r81 r88  
    4444               (_param->_have_port_front_end_id )?PORT_READ(in_EXECUTE_QUEUE_IN_FRONT_END_ID ):0,
    4545               (_param->_have_port_ooo_engine_id)?PORT_READ(in_EXECUTE_QUEUE_IN_OOO_ENGINE_ID):0,
    46                (_param->_have_port_packet_id    )?PORT_READ(in_EXECUTE_QUEUE_IN_PACKET_ID    ):0,
     46               (_param->_have_port_rob_ptr      )?PORT_READ(in_EXECUTE_QUEUE_IN_PACKET_ID    ):0,
    4747             //PORT_READ(in_EXECUTE_QUEUE_IN_OPERATION    ),
    4848             //PORT_READ(in_EXECUTE_QUEUE_IN_TYPE         ),
     
    5050               PORT_READ(in_EXECUTE_QUEUE_IN_EXCEPTION    ),
    5151               PORT_READ(in_EXECUTE_QUEUE_IN_NO_SEQUENCE  ),
    52                PORT_READ(in_EXECUTE_QUEUE_IN_ADDRESS      ));
     52               PORT_READ(in_EXECUTE_QUEUE_IN_ADDRESS      ),
     53               PORT_READ(in_EXECUTE_QUEUE_IN_DATA         ));
    5354           
    5455            _queue->push(entry);
     
    6465
    6566#ifdef STATISTICS
    66     *(_stat_use_queue) += _queue->size();
     67    if (usage_is_set(_usage,USE_STATISTICS))
     68      *(_stat_use_queue) += _queue->size();
    6769#endif
    6870   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Parameters.cpp

    r81 r88  
    2626                          uint32_t nb_packet          ,
    2727                          uint32_t size_general_data  ,
    28                           uint32_t size_special_data  ):
    29     _size_queue              (size_queue         ),
    30     _nb_context              (nb_context         ),
    31     _nb_front_end            (nb_front_end       ),
    32     _nb_ooo_engine           (nb_ooo_engine      ),
    33     _nb_packet               (nb_packet          ),
    34     _size_general_data       (size_general_data  ),
    35     _size_special_data       (size_special_data  ),
    36 
    37     _size_context_id         (log2(_nb_context         )),
    38     _size_front_end_id       (log2(_nb_front_end       )),
    39     _size_ooo_engine_id      (log2(_nb_ooo_engine      )),
    40     _size_packet_id          (log2(_nb_packet          )),
    41 
    42     _have_port_context_id    (_size_context_id    > 0),
    43     _have_port_front_end_id  (_size_front_end_id  > 0),
    44     _have_port_ooo_engine_id (_size_ooo_engine_id > 0),
    45     _have_port_packet_id     (_size_packet_id     > 0)
     28                          uint32_t size_special_data  ,
     29                          bool     is_toplevel        )
    4630  {
    4731    log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
     32
     33    _size_queue              = size_queue         ;
     34    _nb_context              = nb_context         ;
     35    _nb_front_end            = nb_front_end       ;
     36    _nb_ooo_engine           = nb_ooo_engine      ;
     37    _nb_packet               = nb_packet          ;
     38
    4839    test();
     40
     41    if (is_toplevel)
     42      {
     43        _size_context_id         = log2(_nb_context   );
     44        _size_front_end_id       = log2(_nb_front_end );
     45        _size_ooo_engine_id      = log2(_nb_ooo_engine);
     46        _size_rob_ptr            = log2(_nb_packet    );
     47        _size_general_data       = size_general_data;
     48        _size_special_data       = size_special_data;
     49       
     50        _have_port_context_id    = _size_context_id    > 0;
     51        _have_port_front_end_id  = _size_front_end_id  > 0;
     52        _have_port_ooo_engine_id = _size_ooo_engine_id > 0;
     53        _have_port_rob_ptr       = _size_rob_ptr       > 0;
     54
     55        copy();
     56      }
     57
    4958    log_printf(FUNC,Execute_queue,FUNCTION,"End");
    5059  };
    5160 
     61// #undef  FUNCTION
     62// #define FUNCTION "Execute_queue::Parameters (copy)"
     63//   Parameters::Parameters (Parameters & param):
     64//     _size_queue              (param._size_queue             ),
     65//     _nb_context              (param._nb_context             ),
     66//     _nb_front_end            (param._nb_front_end           ),
     67//     _nb_ooo_engine           (param._nb_ooo_engine          ),
     68//     _nb_packet               (param._nb_packet              ),
     69//     _size_general_data       (param._size_general_data      ),
     70//     _size_special_data       (param._size_special_data      ),
     71
     72//     _size_context_id         (param._size_context_id        ),
     73//     _size_front_end_id       (param._size_front_end_id      ),
     74//     _size_ooo_engine_id      (param._size_ooo_engine_id     ),
     75//     _size_packet_id          (param._size_packet_id         ),
     76
     77//     _have_port_context_id    (param._have_port_context_id   ),
     78//     _have_port_front_end_id  (param._have_port_front_end_id ),
     79//     _have_port_ooo_engine_id (param._have_port_ooo_engine_id),
     80//     _have_port_packet_id     (param._have_port_packet_id    )
     81//   {
     82//     log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
     83//     test();
     84//     log_printf(FUNC,Execute_queue,FUNCTION,"End");
     85//   };
     86
    5287#undef  FUNCTION
    53 #define FUNCTION "Execute_queue::Parameters (copy)"
    54   Parameters::Parameters (Parameters & param):
    55     _size_queue              (param._size_queue             ),
    56     _nb_context              (param._nb_context             ),
    57     _nb_front_end            (param._nb_front_end           ),
    58     _nb_ooo_engine           (param._nb_ooo_engine          ),
    59     _nb_packet               (param._nb_packet              ),
    60     _size_general_data       (param._size_general_data      ),
    61     _size_special_data       (param._size_special_data      ),
    62 
    63     _size_context_id         (param._size_context_id        ),
    64     _size_front_end_id       (param._size_front_end_id      ),
    65     _size_ooo_engine_id      (param._size_ooo_engine_id     ),
    66     _size_packet_id          (param._size_packet_id         ),
    67 
    68     _have_port_context_id    (param._have_port_context_id   ),
    69     _have_port_front_end_id  (param._have_port_front_end_id ),
    70     _have_port_ooo_engine_id (param._have_port_ooo_engine_id),
    71     _have_port_packet_id     (param._have_port_packet_id    )
     88#define FUNCTION "Execute_queue::~Parameters"
     89  Parameters::~Parameters (void)
    7290  {
    7391    log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
    74     test();
    7592    log_printf(FUNC,Execute_queue,FUNCTION,"End");
    7693  };
    7794
    7895#undef  FUNCTION
    79 #define FUNCTION "Execute_queue::~Parameters"
    80   Parameters::~Parameters ()
     96#define FUNCTION "Execute_queue::copy"
     97  void Parameters::copy (void)
    8198  {
    8299    log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/SelfTest/Makefile

    r81 r88  
    2424library_clean                   : Write_unit_library_clean
    2525
     26local_clean                     :
     27
    2628include                         $(DIR_COMPONENT)/Makefile.deps
    2729include                         $(DIR_MORPHEO)/Behavioural/Makefile.flags
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/SelfTest/src/main.cpp

    r81 r88  
    6666         size_special_data  ,
    6767         nb_special_register,
    68          nb_bypass_write    );
     68         nb_bypass_write    ,
     69         true // is_toplevel
     70         );
    6971     
    7072      msg(_("%s"),param->print(1).c_str());
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/SelfTest/src/test.cpp

    r82 r88  
    9999#endif
    100100
     101  Tusage_t _usage = USE_ALL;
     102
     103//   _usage = usage_unset(_usage,USE_SYSTEMC              );
     104//   _usage = usage_unset(_usage,USE_VHDL                 );
     105//   _usage = usage_unset(_usage,USE_VHDL_TESTBENCH       );
     106//   _usage = usage_unset(_usage,USE_VHDL_TESTBENCH_ASSERT);
     107//   _usage = usage_unset(_usage,USE_POSITION             );
     108   _usage = usage_unset(_usage,USE_STATISTICS           );
     109//   _usage = usage_unset(_usage,USE_INFORMATION          );
     110
    101111  Write_unit * _Write_unit = new Write_unit
    102112    (name.c_str(),
     
    105115#endif
    106116     _param,
    107      USE_ALL);
     117     _usage);
    108118 
    109119#ifdef SYSTEMC
     
    145155  ALLOC_SC_SIGNAL (out_WRITE_UNIT_OUT_NO_SEQUENCE  ,"out_WRITE_UNIT_OUT_NO_SEQUENCE"  , Tcontrol_t        );
    146156  ALLOC_SC_SIGNAL (out_WRITE_UNIT_OUT_ADDRESS      ,"out_WRITE_UNIT_OUT_ADDRESS"      , Tgeneral_data_t   );
     157  ALLOC_SC_SIGNAL (out_WRITE_UNIT_OUT_DATA         ,"out_WRITE_UNIT_OUT_DATA"         , Tgeneral_data_t   );
    147158  ALLOC1_SC_SIGNAL(out_GPR_WRITE_VAL                ,"out_GPR_WRITE_VAL"                , Tcontrol_t        , _param->_nb_gpr_write);
    148159  ALLOC1_SC_SIGNAL( in_GPR_WRITE_ACK                ," in_GPR_WRITE_ACK"                , Tcontrol_t        , _param->_nb_gpr_write);
     
    181192  if (_param->_have_port_ooo_engine_id)
    182193  INSTANCE_SC_SIGNAL (_Write_unit,  in_WRITE_UNIT_IN_OOO_ENGINE_ID );
    183   if (_param->_have_port_packet_id)
     194  if (_param->_have_port_rob_ptr)
    184195  INSTANCE_SC_SIGNAL (_Write_unit,  in_WRITE_UNIT_IN_PACKET_ID     );
    185196//INSTANCE_SC_SIGNAL (_Write_unit,  in_WRITE_UNIT_IN_OPERATION     );
     
    202213  if (_param->_have_port_ooo_engine_id)
    203214  INSTANCE_SC_SIGNAL (_Write_unit, out_WRITE_UNIT_OUT_OOO_ENGINE_ID);
    204   if (_param->_have_port_packet_id)
     215  if (_param->_have_port_rob_ptr)
    205216  INSTANCE_SC_SIGNAL (_Write_unit, out_WRITE_UNIT_OUT_PACKET_ID    );
    206217//INSTANCE_SC_SIGNAL (_Write_unit, out_WRITE_UNIT_OUT_OPERATION    );
     
    210221  INSTANCE_SC_SIGNAL (_Write_unit, out_WRITE_UNIT_OUT_NO_SEQUENCE  );
    211222  INSTANCE_SC_SIGNAL (_Write_unit, out_WRITE_UNIT_OUT_ADDRESS      );
     223  INSTANCE_SC_SIGNAL (_Write_unit, out_WRITE_UNIT_OUT_DATA         );
    212224  INSTANCE1_SC_SIGNAL(_Write_unit, out_GPR_WRITE_VAL                , _param->_nb_gpr_write);
    213225  INSTANCE1_SC_SIGNAL(_Write_unit,  in_GPR_WRITE_ACK                , _param->_nb_gpr_write);
     
    445457          {
    446458            Tcontext_t packet;
    447             if (_param->_have_port_packet_id)
     459            if (_param->_have_port_rob_ptr)
    448460              packet = out_WRITE_UNIT_OUT_PACKET_ID->read();
    449461            else
     
    479491            TEST(Tcontrol_t     , out_WRITE_UNIT_OUT_NO_SEQUENCE  ->read(), request [packet]->_no_sequence  );
    480492            TEST(Tgeneral_data_t, out_WRITE_UNIT_OUT_ADDRESS      ->read(), request [packet]->_address      );
     493            TEST(Tgeneral_data_t, out_WRITE_UNIT_OUT_DATA         ->read(), request [packet]->_data_rd      );
    481494
    482495            nb_request_out ++;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/SelfTest/Makefile

    r81 r88  
    2424library_clean                   : Write_queue_library_clean
    2525
     26local_clean                     :
     27
    2628include                         $(DIR_COMPONENT)/Makefile.deps
    2729include                         $(DIR_MORPHEO)/Behavioural/Makefile.flags
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/SelfTest/configuration.cfg

    r81 r88  
    992       2       *2 # uint32_t size_special_data 
    101016      16      *2 # uint32_t nb_special_register
    11 1       8       *2 # uint32_t nb_bypass_write 
     111       8       *4 # uint32_t nb_bypass_write 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/SelfTest/src/main.cpp

    r81 r88  
    6363         size_special_data  ,
    6464         nb_special_register,
    65          nb_bypass_write    );
     65         nb_bypass_write    ,
     66         true // is_toplevel
     67         );
    6668     
    6769      msg(_("%s"),param->print(1).c_str());
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/SelfTest/src/test.cpp

    r82 r88  
    88
    99#define NB_ITERATION  1
    10 #define CYCLE_MAX     (128*NB_ITERATION)
     10#define CYCLE_MAX     (1024*NB_ITERATION)
    1111
    1212#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/SelfTest/include/test.h"
     
    9898#endif
    9999
     100  Tusage_t _usage = USE_ALL;
     101
     102//   _usage = usage_unset(_usage,USE_SYSTEMC              );
     103//   _usage = usage_unset(_usage,USE_VHDL                 );
     104//   _usage = usage_unset(_usage,USE_VHDL_TESTBENCH       );
     105//   _usage = usage_unset(_usage,USE_VHDL_TESTBENCH_ASSERT);
     106//   _usage = usage_unset(_usage,USE_POSITION             );
     107   _usage = usage_unset(_usage,USE_STATISTICS           );
     108//   _usage = usage_unset(_usage,USE_INFORMATION          );
    100109
    101110  Write_queue * _Write_queue = new Write_queue
     
    105114#endif
    106115     _param,
    107      USE_ALL);
     116     _usage);
    108117 
    109118#ifdef SYSTEMC
     
    145154  ALLOC_SC_SIGNAL (out_WRITE_QUEUE_OUT_NO_SEQUENCE  ,"out_WRITE_QUEUE_OUT_NO_SEQUENCE"  , Tcontrol_t        );
    146155  ALLOC_SC_SIGNAL (out_WRITE_QUEUE_OUT_ADDRESS      ,"out_WRITE_QUEUE_OUT_ADDRESS"      , Tgeneral_data_t   );
     156  ALLOC_SC_SIGNAL (out_WRITE_QUEUE_OUT_DATA         ,"out_WRITE_QUEUE_OUT_DATA"         , Tgeneral_data_t   );
    147157  ALLOC1_SC_SIGNAL(out_GPR_WRITE_VAL                ,"out_GPR_WRITE_VAL"                , Tcontrol_t        , _param->_nb_gpr_write);
    148158  ALLOC1_SC_SIGNAL( in_GPR_WRITE_ACK                ," in_GPR_WRITE_ACK"                , Tcontrol_t        , _param->_nb_gpr_write);
     
    180190  if (_param->_have_port_ooo_engine_id)
    181191  INSTANCE_SC_SIGNAL (_Write_queue,  in_WRITE_QUEUE_IN_OOO_ENGINE_ID );
    182   if (_param->_have_port_packet_id)
     192  if (_param->_have_port_rob_ptr  )
    183193  INSTANCE_SC_SIGNAL (_Write_queue,  in_WRITE_QUEUE_IN_PACKET_ID     );
    184194//INSTANCE_SC_SIGNAL (_Write_queue,  in_WRITE_QUEUE_IN_OPERATION     );
     
    201211  if (_param->_have_port_ooo_engine_id)
    202212  INSTANCE_SC_SIGNAL (_Write_queue, out_WRITE_QUEUE_OUT_OOO_ENGINE_ID);
    203   if (_param->_have_port_packet_id)
     213  if (_param->_have_port_rob_ptr  )
    204214  INSTANCE_SC_SIGNAL (_Write_queue, out_WRITE_QUEUE_OUT_PACKET_ID    );
    205215//INSTANCE_SC_SIGNAL (_Write_queue, out_WRITE_QUEUE_OUT_OPERATION    );
     
    209219  INSTANCE_SC_SIGNAL (_Write_queue, out_WRITE_QUEUE_OUT_NO_SEQUENCE  );
    210220  INSTANCE_SC_SIGNAL (_Write_queue, out_WRITE_QUEUE_OUT_ADDRESS      );
     221  INSTANCE_SC_SIGNAL (_Write_queue, out_WRITE_QUEUE_OUT_DATA         );
    211222  INSTANCE1_SC_SIGNAL(_Write_queue, out_GPR_WRITE_VAL                , _param->_nb_gpr_write);
    212223  INSTANCE1_SC_SIGNAL(_Write_queue,  in_GPR_WRITE_ACK                , _param->_nb_gpr_write);
     
    444455          {
    445456            Tcontext_t packet;
    446             if (_param->_have_port_packet_id)
     457            if (_param->_have_port_rob_ptr)
    447458              packet = out_WRITE_QUEUE_OUT_PACKET_ID->read();
    448459            else
     
    478489            TEST(Tcontrol_t     , out_WRITE_QUEUE_OUT_NO_SEQUENCE  ->read(), request [packet]->_no_sequence  );
    479490            TEST(Tgeneral_data_t, out_WRITE_QUEUE_OUT_ADDRESS      ->read(), request [packet]->_address      );
     491            TEST(Tgeneral_data_t, out_WRITE_QUEUE_OUT_DATA         ->read(), request [packet]->_data_rd      );
    480492
    481493            nb_request_out ++;
     
    531543  delete    out_WRITE_QUEUE_OUT_NO_SEQUENCE  ;
    532544  delete    out_WRITE_QUEUE_OUT_ADDRESS      ;
     545  delete    out_WRITE_QUEUE_OUT_DATA         ;
    533546  delete [] out_GPR_WRITE_VAL                ;
    534547  delete []  in_GPR_WRITE_ACK                ;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/include/Parameters.h

    r81 r88  
    2626  {
    2727    //-----[ fields ]------------------------------------------------------------
    28   public : const uint32_t            _size_queue                   ;
    29   public : const uint32_t            _nb_context                   ;
    30   public : const uint32_t            _nb_front_end                 ;
    31   public : const uint32_t            _nb_ooo_engine                ;
    32   public : const uint32_t            _nb_packet                    ;
    33   public : const uint32_t            _size_general_data            ;
    34   public : const uint32_t            _nb_general_register          ;
    35   public : const uint32_t            _size_special_data            ;
    36   public : const uint32_t            _nb_special_register          ;
    37   public : const uint32_t            _nb_bypass_write              ;
     28  public : uint32_t            _size_queue                   ;
     29  public : uint32_t            _nb_context                   ;
     30  public : uint32_t            _nb_front_end                 ;
     31  public : uint32_t            _nb_ooo_engine                ;
     32  public : uint32_t            _nb_packet                    ;
     33//public : uint32_t            _size_general_data            ;
     34  public : uint32_t            _nb_general_register          ;
     35//public : uint32_t            _size_special_data            ;
     36  public : uint32_t            _nb_special_register          ;
     37  public : uint32_t            _nb_bypass_write              ;
    3838
    39   public : const uint32_t            _nb_gpr_write                 ;
    40   public : const uint32_t            _nb_spr_write                 ;
     39  public : uint32_t            _nb_gpr_write                 ;
     40  public : uint32_t            _nb_spr_write                 ;
    4141
    42   public : const uint32_t            _size_context_id              ;
    43   public : const uint32_t            _size_front_end_id            ;
    44   public : const uint32_t            _size_ooo_engine_id           ;
    45   public : const uint32_t            _size_packet_id               ;
    46   public : const uint32_t            _size_general_register        ;
    47   public : const uint32_t            _size_special_register        ;
     42//public : uint32_t            _size_context_id              ;
     43//public : uint32_t            _size_front_end_id            ;
     44//public : uint32_t            _size_ooo_engine_id           ;
     45//public : uint32_t            _size_packet_id               ;
     46//public : uint32_t            _size_general_register        ;
     47//public : uint32_t            _size_special_register        ;
    4848
    49   public : const bool                _have_port_context_id         ;
    50   public : const bool                _have_port_front_end_id       ;
    51   public : const bool                _have_port_ooo_engine_id      ;
    52   public : const bool                _have_port_packet_id          ;
     49//public : bool                _have_port_context_id         ;
     50//public : bool                _have_port_front_end_id       ;
     51//public : bool                _have_port_ooo_engine_id      ;
     52//public : bool                _have_port_packet_id          ;
    5353
    5454    //-----[ methods ]-----------------------------------------------------------
     
    6262                        uint32_t size_special_data  ,
    6363                        uint32_t nb_special_register,
    64                         uint32_t nb_bypass_write    );
    65   public : Parameters  (Parameters & param) ;
     64                        uint32_t nb_bypass_write    ,
     65                        bool     is_toplevel=false  );
     66//public : Parameters  (Parameters & param) ;
    6667  public : ~Parameters () ;
     68
     69  public :        void            copy       (void);
    6770
    6871  public :        Parameters_test msg_error  (void);
    6972
    70   public :        std::string   print      (uint32_t depth);
    71   public : friend std::ostream& operator<< (std::ostream& output_stream,
    72                                             morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::write_queue::Parameters & x);
     73  public :        std::string     print      (uint32_t depth);
     74  public : friend std::ostream&   operator<< (std::ostream& output_stream,
     75                                              morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::write_queue::Parameters & x);
    7376  };
    7477
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/include/Write_queue.h

    r82 r88  
    100100  public    : SC_OUT(Tcontrol_t        )    * out_WRITE_QUEUE_OUT_NO_SEQUENCE  ;
    101101  public    : SC_OUT(Tgeneral_data_t   )    * out_WRITE_QUEUE_OUT_ADDRESS      ;
     102  public    : SC_OUT(Tgeneral_data_t   )    * out_WRITE_QUEUE_OUT_DATA         ;
    102103
    103104    // -----[ Interface "gpr_write" ]-------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Parameters.cpp

    r81 r88  
    2929                          uint32_t size_special_data  ,
    3030                          uint32_t nb_special_register,
    31                           uint32_t nb_bypass_write    ):
    32     _size_queue              (size_queue         ),
    33     _nb_context              (nb_context         ),
    34     _nb_front_end            (nb_front_end       ),
    35     _nb_ooo_engine           (nb_ooo_engine      ),
    36     _nb_packet               (nb_packet          ),
    37     _size_general_data       (size_general_data  ),
    38     _nb_general_register     (nb_general_register),
    39     _size_special_data       (size_special_data  ),
    40     _nb_special_register     (nb_special_register),
    41     _nb_bypass_write         (nb_bypass_write    ),
    42 
    43     _nb_gpr_write            (1),
    44     _nb_spr_write            (1),
    45 
    46     _size_context_id         (log2(_nb_context         )),
    47     _size_front_end_id       (log2(_nb_front_end       )),
    48     _size_ooo_engine_id      (log2(_nb_ooo_engine      )),
    49     _size_packet_id          (log2(_nb_packet          )),
    50     _size_general_register   (log2(_nb_general_register)),
    51     _size_special_register   (log2(_nb_special_register)),
    52 
    53     _have_port_context_id    (_size_context_id    > 0),
    54     _have_port_front_end_id  (_size_front_end_id  > 0),
    55     _have_port_ooo_engine_id (_size_ooo_engine_id > 0),
    56     _have_port_packet_id     (_size_packet_id     > 0)
     31                          uint32_t nb_bypass_write    ,
     32                          bool     is_toplevel)
    5733  {
    5834    log_printf(FUNC,Write_queue,FUNCTION,"Begin");
     35
     36    _size_queue              = size_queue         ;
     37    _nb_context              = nb_context         ;
     38    _nb_front_end            = nb_front_end       ;
     39    _nb_ooo_engine           = nb_ooo_engine      ;
     40    _nb_packet               = nb_packet          ;
     41    _nb_general_register     = nb_general_register;
     42    _nb_special_register     = nb_special_register;
     43    _nb_bypass_write         = nb_bypass_write    ;
     44
     45    _nb_gpr_write            = 1;
     46    _nb_spr_write            = 1;
     47
    5948    test();
     49   
     50    if (is_toplevel)
     51      {
     52        _size_context_id         = log2(_nb_context         );
     53        _size_front_end_id       = log2(_nb_front_end       );
     54        _size_ooo_engine_id      = log2(_nb_ooo_engine      );
     55        _size_rob_ptr            = log2(_nb_packet          );
     56        _size_general_register   = log2(_nb_general_register);
     57        _size_special_register   = log2(_nb_special_register);
     58        _size_general_data       = size_general_data  ;
     59        _size_special_data       = size_special_data  ;
     60       
     61        _have_port_context_id    = _size_context_id    > 0;
     62        _have_port_front_end_id  = _size_front_end_id  > 0;
     63        _have_port_ooo_engine_id = _size_ooo_engine_id > 0;
     64        _have_port_rob_ptr       = _size_rob_ptr       > 0;
     65
     66        copy();
     67      }
     68
    6069    log_printf(FUNC,Write_queue,FUNCTION,"End");
    6170  };
    6271 
     72// #undef  FUNCTION
     73// #define FUNCTION "Write_queue::Parameters (copy)"
     74//   Parameters::Parameters (Parameters & param):
     75//     _size_queue              (param._size_queue             ),
     76//     _nb_context              (param._nb_context             ),
     77//     _nb_front_end            (param._nb_front_end           ),
     78//     _nb_ooo_engine           (param._nb_ooo_engine          ),
     79//     _nb_packet               (param._nb_packet              ),
     80//     _size_general_data       (param._size_general_data      ),
     81//     _nb_general_register     (param._nb_general_register    ),
     82//     _size_special_data       (param._size_special_data      ),
     83//     _nb_special_register     (param._nb_special_register    ),
     84//     _nb_bypass_write         (param._nb_bypass_write        ),
     85
     86//     _nb_gpr_write            (param._nb_gpr_write           ),
     87//     _nb_spr_write            (param._nb_spr_write           ),
     88
     89//     _size_context_id         (param._size_context_id        ),
     90//     _size_front_end_id       (param._size_front_end_id      ),
     91//     _size_ooo_engine_id      (param._size_ooo_engine_id     ),
     92//     _size_packet_id          (param._size_packet_id         ),
     93//     _size_general_register   (param._size_general_register  ),
     94//     _size_special_register   (param._size_special_register  ),
     95
     96//     _have_port_context_id    (param._have_port_context_id   ),
     97//     _have_port_front_end_id  (param._have_port_front_end_id ),
     98//     _have_port_ooo_engine_id (param._have_port_ooo_engine_id),
     99//     _have_port_packet_id     (param._have_port_packet_id    )
     100//   {
     101//     log_printf(FUNC,Write_queue,FUNCTION,"Begin");
     102//     test();
     103//     log_printf(FUNC,Write_queue,FUNCTION,"End");
     104//   };
     105
    63106#undef  FUNCTION
    64 #define FUNCTION "Write_queue::Parameters (copy)"
    65   Parameters::Parameters (Parameters & param):
    66     _size_queue              (param._size_queue             ),
    67     _nb_context              (param._nb_context             ),
    68     _nb_front_end            (param._nb_front_end           ),
    69     _nb_ooo_engine           (param._nb_ooo_engine          ),
    70     _nb_packet               (param._nb_packet              ),
    71     _size_general_data       (param._size_general_data      ),
    72     _nb_general_register     (param._nb_general_register    ),
    73     _size_special_data       (param._size_special_data      ),
    74     _nb_special_register     (param._nb_special_register    ),
    75     _nb_bypass_write         (param._nb_bypass_write        ),
    76 
    77     _nb_gpr_write            (param._nb_gpr_write           ),
    78     _nb_spr_write            (param._nb_spr_write           ),
    79 
    80     _size_context_id         (param._size_context_id        ),
    81     _size_front_end_id       (param._size_front_end_id      ),
    82     _size_ooo_engine_id      (param._size_ooo_engine_id     ),
    83     _size_packet_id          (param._size_packet_id         ),
    84     _size_general_register   (param._size_general_register  ),
    85     _size_special_register   (param._size_special_register  ),
    86 
    87     _have_port_context_id    (param._have_port_context_id   ),
    88     _have_port_front_end_id  (param._have_port_front_end_id ),
    89     _have_port_ooo_engine_id (param._have_port_ooo_engine_id),
    90     _have_port_packet_id     (param._have_port_packet_id    )
     107#define FUNCTION "Write_queue::~Parameters"
     108  Parameters::~Parameters (void)
    91109  {
    92110    log_printf(FUNC,Write_queue,FUNCTION,"Begin");
    93     test();
    94111    log_printf(FUNC,Write_queue,FUNCTION,"End");
    95112  };
    96113
    97114#undef  FUNCTION
    98 #define FUNCTION "Write_queue::~Parameters"
    99   Parameters::~Parameters ()
     115#define FUNCTION "Write_queue::copy"
     116  void Parameters::copy (void)
    100117  {
    101118    log_printf(FUNC,Write_queue,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue.cpp

    r81 r88  
    3939    log_printf(FUNC,Write_queue,FUNCTION,"Begin");
    4040
     41#if DEBUG_Write_queue == true
     42    log_printf(INFO,Write_queue,FUNCTION,_("<%s> Parameters"),_name.c_str());
     43
     44    std::cout << *param << std::endl;
     45#endif   
     46
    4147    log_printf(INFO,Write_queue,FUNCTION,"Allocation");
    4248    allocation ();
    4349
    4450#ifdef STATISTICS
    45     if (_usage & USE_STATISTICS)
     51    if (usage_is_set(_usage,USE_STATISTICS))
    4652      {
    4753        log_printf(INFO,Write_queue,FUNCTION,"Allocation of statistics");
     
    5258
    5359#ifdef VHDL
    54     if (_usage & USE_VHDL)
     60    if (usage_is_set(_usage,USE_VHDL))
    5561      {
    5662        // generate the vhdl
     
    6268
    6369#ifdef SYSTEMC
    64     if (_usage & USE_SYSTEMC)
     70    if (usage_is_set(_usage,USE_SYSTEMC))
    6571      {
    6672        log_printf(INFO,Write_queue,FUNCTION,"Method - transition");
     
    96102
    97103#ifdef STATISTICS
    98     if (_usage & USE_STATISTICS)
     104    if (usage_is_set(_usage,USE_STATISTICS))
    99105      {
    100106        log_printf(INFO,Write_queue,FUNCTION,"Generate Statistics file");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue_allocation.cpp

    r81 r88  
    5858       ALLOC_SIGNAL_IN ( in_WRITE_QUEUE_IN_FRONT_END_ID ,"front_end_id" ,Tcontext_t        ,_param->_size_front_end_id     );
    5959       ALLOC_SIGNAL_IN ( in_WRITE_QUEUE_IN_OOO_ENGINE_ID,"ooo_engine_id",Tcontext_t        ,_param->_size_ooo_engine_id    );
    60        ALLOC_SIGNAL_IN ( in_WRITE_QUEUE_IN_PACKET_ID    ,"packet_id"    ,Tpacket_t         ,_param->_size_packet_id        );
     60       ALLOC_SIGNAL_IN ( in_WRITE_QUEUE_IN_PACKET_ID    ,"packet_id"    ,Tpacket_t         ,_param->_size_rob_ptr          );
    6161//     ALLOC_SIGNAL_IN ( in_WRITE_QUEUE_IN_OPERATION    ,"operation"    ,Toperation_t      ,_param->_size_operation        );
    6262       ALLOC_SIGNAL_IN ( in_WRITE_QUEUE_IN_TYPE         ,"type"         ,Ttype_t           ,_param->_size_type             );
     
    8181       ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_FRONT_END_ID ,"front_end_id" ,Tcontext_t     ,_param->_size_front_end_id );
    8282       ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_OOO_ENGINE_ID,"ooo_engine_id",Tcontext_t     ,_param->_size_ooo_engine_id);
    83        ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_PACKET_ID    ,"packet_id"    ,Tpacket_t      ,_param->_size_packet_id    );
     83       ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_PACKET_ID    ,"packet_id"    ,Tpacket_t      ,_param->_size_rob_ptr      );
    8484//     ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_OPERATION    ,"operation"    ,Toperation_t   ,_param->_size_operation    );
    8585//     ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_TYPE         ,"type"         ,Ttype_t        ,_param->_size_type         );
     
    8888       ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_NO_SEQUENCE  ,"no_sequence"  ,Tcontrol_t     ,1                          );
    8989       ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_ADDRESS      ,"address"      ,Tgeneral_data_t,_param->_size_general_data );
     90       ALLOC_SIGNAL_OUT(out_WRITE_QUEUE_OUT_DATA         ,"data"         ,Tgeneral_data_t,_param->_size_general_data );
    9091     }
    9192
     
    129130
    130131#ifdef POSITION
    131     _component->generate_file();
     132     if (usage_is_set(_usage,USE_POSITION))
     133       _component->generate_file();
    132134#endif
    133135
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue_deallocation.cpp

    r81 r88  
    2424    log_printf(FUNC,Write_queue,FUNCTION,"Begin");
    2525
    26     if (_usage & USE_SYSTEMC)
     26    if (usage_is_set(_usage,USE_SYSTEMC))
    2727      {
    2828        delete    in_CLOCK ;
     
    3838        if (_param->_have_port_ooo_engine_id)
    3939        delete      in_WRITE_QUEUE_IN_OOO_ENGINE_ID;
    40         if (_param->_have_port_packet_id)
     40        if (_param->_have_port_rob_ptr  )
    4141        delete      in_WRITE_QUEUE_IN_PACKET_ID    ;
    4242//      delete      in_WRITE_QUEUE_IN_OPERATION    ;
     
    6161        if (_param->_have_port_ooo_engine_id)
    6262        delete     out_WRITE_QUEUE_OUT_OOO_ENGINE_ID;
    63         if (_param->_have_port_packet_id)
     63        if (_param->_have_port_rob_ptr  )
    6464        delete     out_WRITE_QUEUE_OUT_PACKET_ID    ;
    6565//      delete     out_WRITE_QUEUE_OUT_OPERATION    ;
     
    6969        delete     out_WRITE_QUEUE_OUT_NO_SEQUENCE  ;
    7070        delete     out_WRITE_QUEUE_OUT_ADDRESS      ;
     71        delete     out_WRITE_QUEUE_OUT_DATA         ;
    7172
    7273        // -----[ Interface "gpr_write" ]-------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue_end_cycle.cpp

    r81 r88  
    2626
    2727#ifdef STATISTICS
    28     _stat->end_cycle();
     28    if (usage_is_set(_usage,USE_STATISTICS))
     29      _stat->end_cycle();
    2930#endif   
    3031
     
    3233    // Evaluation before read the ouput signal
    3334//  sc_start(0);
    34     _interfaces->testbench();
     35    if (usage_is_set(_usage,USE_VHDL_TESTBENCH))
     36      _interfaces->testbench();
    3537#endif
    3638
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue_genMoore.cpp

    r81 r88  
    4949          if (_param->_have_port_ooo_engine_id)
    5050          PORT_WRITE(out_WRITE_QUEUE_OUT_OOO_ENGINE_ID, _queue->front()->_ooo_engine_id);
    51           if (_param->_have_port_packet_id)
     51          if (_param->_have_port_rob_ptr  )
    5252          PORT_WRITE(out_WRITE_QUEUE_OUT_PACKET_ID    , _queue->front()->_packet_id    );
    5353//        PORT_WRITE(out_WRITE_QUEUE_OUT_OPERATION    , _queue->front()->_operation    );
     
    5757          PORT_WRITE(out_WRITE_QUEUE_OUT_NO_SEQUENCE  , _queue->front()->_no_sequence  );
    5858          PORT_WRITE(out_WRITE_QUEUE_OUT_ADDRESS      , _queue->front()->_address      );
     59          PORT_WRITE(out_WRITE_QUEUE_OUT_DATA         , _queue->front()->_data_rd      );
    5960        }
    6061    }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue_transition.cpp

    r81 r88  
    5151               (_param->_have_port_front_end_id )?PORT_READ(in_WRITE_QUEUE_IN_FRONT_END_ID ):0,
    5252               (_param->_have_port_ooo_engine_id)?PORT_READ(in_WRITE_QUEUE_IN_OOO_ENGINE_ID):0,
    53                (_param->_have_port_packet_id    )?PORT_READ(in_WRITE_QUEUE_IN_PACKET_ID    ):0,
     53               (_param->_have_port_rob_ptr      )?PORT_READ(in_WRITE_QUEUE_IN_PACKET_ID    ):0,
    5454             //PORT_READ(in_WRITE_QUEUE_IN_OPERATION    ),
    5555               PORT_READ(in_WRITE_QUEUE_IN_TYPE         ),
     
    8383
    8484#ifdef STATISTICS
    85     *(_stat_use_queue) += _queue->size();
     85    if (usage_is_set(_usage,USE_STATISTICS))
     86      *(_stat_use_queue) += _queue->size();
    8687#endif
    8788
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/include/Parameters.h

    r81 r88  
    2828  {
    2929    //-----[ fields ]------------------------------------------------------------
    30   public : const uint32_t            _size_write_queue             ;
    31   public : const uint32_t            _size_execute_queue           ;
    32   public : const uint32_t            _nb_context                   ;
    33   public : const uint32_t            _nb_front_end                 ;
    34   public : const uint32_t            _nb_ooo_engine                ;
    35   public : const uint32_t            _nb_packet                    ;
    36   public : const uint32_t            _size_general_data            ;
    37   public : const uint32_t            _nb_general_register          ;
    38   public : const uint32_t            _size_special_data            ;
    39   public : const uint32_t            _nb_special_register          ;
    40   public : const uint32_t            _nb_bypass_write              ;
     30  public : uint32_t            _size_write_queue             ;
     31  public : uint32_t            _size_execute_queue           ;
     32  public : uint32_t            _nb_context                   ;
     33  public : uint32_t            _nb_front_end                 ;
     34  public : uint32_t            _nb_ooo_engine                ;
     35  public : uint32_t            _nb_packet                    ;
     36//public : uint32_t            _size_general_data            ;
     37  public : uint32_t            _nb_general_register          ;
     38//public : uint32_t            _size_special_data            ;
     39  public : uint32_t            _nb_special_register          ;
     40  public : uint32_t            _nb_bypass_write              ;
    4141
    42   public : const uint32_t            _nb_gpr_write                 ;
    43   public : const uint32_t            _nb_spr_write                 ;
     42  public : uint32_t            _nb_gpr_write                 ;
     43  public : uint32_t            _nb_spr_write                 ;
    4444
    45   public : const uint32_t            _size_context_id              ;
    46   public : const uint32_t            _size_front_end_id            ;
    47   public : const uint32_t            _size_ooo_engine_id           ;
    48   public : const uint32_t            _size_packet_id               ;
    49   public : const uint32_t            _size_general_register        ;
    50   public : const uint32_t            _size_special_register        ;
     45//public : uint32_t            _size_context_id              ;
     46//public : uint32_t            _size_front_end_id            ;
     47//public : uint32_t            _size_ooo_engine_id           ;
     48//public : uint32_t            _size_packet_id               ;
     49//public : uint32_t            _size_general_register        ;
     50//public : uint32_t            _size_special_register        ;
    5151
    52   public : const bool                _have_component_execute_queue ;
    53   public : const bool                _have_port_context_id         ;
    54   public : const bool                _have_port_front_end_id       ;
    55   public : const bool                _have_port_ooo_engine_id      ;
    56   public : const bool                _have_port_packet_id          ;
     52  public : bool                _have_component_execute_queue ;
     53//public : bool                _have_port_context_id         ;
     54//public : bool                _have_port_front_end_id       ;
     55//public : bool                _have_port_ooo_engine_id      ;
     56//public : bool                _have_port_packet_id          ;
    5757
    5858  public : morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::write_queue  ::Parameters * _param_write_queue;
     
    7070                        uint32_t size_special_data  ,
    7171                        uint32_t nb_special_register,
    72                         uint32_t nb_bypass_write    );
    73   public : Parameters  (Parameters & param) ;
     72                        uint32_t nb_bypass_write    ,
     73                        bool     is_toplevel=false);
     74//public : Parameters  (Parameters & param) ;
    7475  public : ~Parameters () ;
     76
     77  public :        void            copy       (void);
    7578
    7679  public :        Parameters_test msg_error  (void);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/include/Write_unit.h

    r82 r88  
    9595  public    : SC_OUT(Tcontrol_t        )    * out_WRITE_UNIT_OUT_NO_SEQUENCE  ;
    9696  public    : SC_OUT(Tgeneral_data_t   )    * out_WRITE_UNIT_OUT_ADDRESS      ;
     97  public    : SC_OUT(Tgeneral_data_t   )    * out_WRITE_UNIT_OUT_DATA         ;
    9798
    9899    // -----[ Interface "gpr_write" ]-------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/src/Parameters.cpp

    r81 r88  
    2929                          uint32_t size_special_data  ,
    3030                          uint32_t nb_special_register,
    31                           uint32_t nb_bypass_write    ):
    32     _size_write_queue        (size_write_queue   ),
    33     _size_execute_queue      (size_execute_queue ),
    34     _nb_context              (nb_context         ),
    35     _nb_front_end            (nb_front_end       ),
    36     _nb_ooo_engine           (nb_ooo_engine      ),
    37     _nb_packet               (nb_packet          ),
    38     _size_general_data       (size_general_data  ),
    39     _nb_general_register     (nb_general_register),
    40     _size_special_data       (size_special_data  ),
    41     _nb_special_register     (nb_special_register),
    42     _nb_bypass_write         (nb_bypass_write    ),
    43 
    44     _nb_gpr_write            (1),
    45     _nb_spr_write            (1),
    46 
    47     _size_context_id         (log2(_nb_context         )),
    48     _size_front_end_id       (log2(_nb_front_end       )),
    49     _size_ooo_engine_id      (log2(_nb_ooo_engine      )),
    50     _size_packet_id          (log2(_nb_packet          )),
    51     _size_general_register   (log2(_nb_general_register)),
    52     _size_special_register   (log2(_nb_special_register)),
    53 
    54     _have_component_execute_queue (_size_execute_queue > 0),
    55     _have_port_context_id         (_size_context_id    > 0),
    56     _have_port_front_end_id       (_size_front_end_id  > 0),
    57     _have_port_ooo_engine_id      (_size_ooo_engine_id > 0),
    58     _have_port_packet_id          (_size_packet_id     > 0)
     31                          uint32_t nb_bypass_write    ,
     32                          bool     is_toplevel        )
    5933  {
    6034    log_printf(FUNC,Write_unit,FUNCTION,"Begin");
    6135
    62     _param_write_queue  = new morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::write_queue  ::Parameters(size_write_queue   ,
    63                                                                                                                                                     nb_context         ,
    64                                                                                                                                                     nb_front_end       ,
    65                                                                                                                                                     nb_ooo_engine      ,
    66                                                                                                                                                     nb_packet          ,
    67                                                                                                                                                     size_general_data  ,
    68                                                                                                                                                     nb_general_register,
    69                                                                                                                                                     size_special_data  ,
    70                                                                                                                                                     nb_special_register,
    71                                                                                                                                                     nb_bypass_write    );
     36    _size_write_queue             = size_write_queue   ;
     37    _size_execute_queue           = size_execute_queue ;
     38    _nb_context                   = nb_context         ;
     39    _nb_front_end                 = nb_front_end       ;
     40    _nb_ooo_engine                = nb_ooo_engine      ;
     41    _nb_packet                    = nb_packet          ;
     42    _nb_general_register          = nb_general_register;
     43    _nb_special_register          = nb_special_register;
     44    _nb_bypass_write              = nb_bypass_write    ;
     45                                 
     46    _nb_gpr_write                 = 1;
     47    _nb_spr_write                 = 1;
     48
     49    _have_component_execute_queue = _size_execute_queue > 0;
     50                                 
     51    _param_write_queue  = new morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::write_queue  ::Parameters(_size_write_queue   ,
     52                                                                                                                                                    _nb_context         ,
     53                                                                                                                                                    _nb_front_end       ,
     54                                                                                                                                                    _nb_ooo_engine      ,
     55                                                                                                                                                    _nb_packet          ,
     56                                                                                                                                                     size_general_data  ,
     57                                                                                                                                                    _nb_general_register,
     58                                                                                                                                                     size_special_data  ,
     59                                                                                                                                                    _nb_special_register,
     60                                                                                                                                                    _nb_bypass_write    );
    7261   
    7362    if (_have_component_execute_queue)
    74       _param_execute_queue  = new morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::execute_queue::Parameters(size_execute_queue   ,
    75                                                                                                                                                         nb_context         ,
    76                                                                                                                                                         nb_front_end       ,
    77                                                                                                                                                         nb_ooo_engine      ,
    78                                                                                                                                                         nb_packet          ,
    79                                                                                                                                                         size_general_data  ,
    80                                                                                                                                                         size_special_data  );
     63      _param_execute_queue  = new morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::execute_queue::Parameters(_size_execute_queue   ,
     64                                                                                                                                                        _nb_context         ,
     65                                                                                                                                                        _nb_front_end       ,
     66                                                                                                                                                        _nb_ooo_engine      ,
     67                                                                                                                                                        _nb_packet          ,
     68                                                                                                                                                         size_general_data  ,
     69                                                                                                                                                         size_special_data  );
    8170
    8271    test();
     72
     73    if (is_toplevel)
     74      {
     75        _size_context_id              = log2(_nb_context         );
     76        _size_front_end_id            = log2(_nb_front_end       );
     77        _size_ooo_engine_id           = log2(_nb_ooo_engine      );
     78        _size_rob_ptr                 = log2(_nb_packet          );
     79        _size_general_register        = log2(_nb_general_register);
     80        _size_special_register        = log2(_nb_special_register);
     81        _size_general_data            = size_general_data  ;
     82        _size_special_data            = size_special_data  ;
     83       
     84        _have_port_context_id         = _size_context_id    > 0;
     85        _have_port_front_end_id       = _size_front_end_id  > 0;
     86        _have_port_ooo_engine_id      = _size_ooo_engine_id > 0;
     87        _have_port_rob_ptr            = _size_rob_ptr       > 0;
     88
     89        copy();
     90      }
    8391
    8492    log_printf(FUNC,Write_unit,FUNCTION,"End");
    8593  };
    8694 
    87 #undef  FUNCTION
    88 #define FUNCTION "Write_unit::Parameters (copy)"
    89   Parameters::Parameters (Parameters & param):
    90     _size_write_queue        (param._size_write_queue       ),
    91     _size_execute_queue      (param._size_execute_queue     ),
    92     _nb_context              (param._nb_context             ),
    93     _nb_front_end            (param._nb_front_end           ),
    94     _nb_ooo_engine           (param._nb_ooo_engine          ),
    95     _nb_packet               (param._nb_packet              ),
    96     _size_general_data       (param._size_general_data      ),
    97     _nb_general_register     (param._nb_general_register    ),
    98     _size_special_data       (param._size_special_data      ),
    99     _nb_special_register     (param._nb_special_register    ),
    100     _nb_bypass_write         (param._nb_bypass_write        ),
     95// #undef  FUNCTION
     96// #define FUNCTION "Write_unit::Parameters (copy)"
     97//   Parameters::Parameters (Parameters & param):
     98//     _size_write_queue        (param._size_write_queue       ),
     99//     _size_execute_queue      (param._size_execute_queue     ),
     100//     _nb_context              (param._nb_context             ),
     101//     _nb_front_end            (param._nb_front_end           ),
     102//     _nb_ooo_engine           (param._nb_ooo_engine          ),
     103//     _nb_packet               (param._nb_packet              ),
     104//     _size_general_data       (param._size_general_data      ),
     105//     _nb_general_register     (param._nb_general_register    ),
     106//     _size_special_data       (param._size_special_data      ),
     107//     _nb_special_register     (param._nb_special_register    ),
     108//     _nb_bypass_write         (param._nb_bypass_write        ),
    101109
    102     _nb_gpr_write            (param._nb_gpr_write           ),
    103     _nb_spr_write            (param._nb_spr_write           ),
     110//     _nb_gpr_write            (param._nb_gpr_write           ),
     111//     _nb_spr_write            (param._nb_spr_write           ),
    104112
    105     _size_context_id         (param._size_context_id        ),
    106     _size_front_end_id       (param._size_front_end_id      ),
    107     _size_ooo_engine_id      (param._size_ooo_engine_id     ),
    108     _size_packet_id          (param._size_packet_id         ),
    109     _size_general_register   (param._size_general_register  ),
    110     _size_special_register   (param._size_special_register  ),
     113//     _size_context_id         (param._size_context_id        ),
     114//     _size_front_end_id       (param._size_front_end_id      ),
     115//     _size_ooo_engine_id      (param._size_ooo_engine_id     ),
     116//     _size_packet_id          (param._size_packet_id         ),
     117//     _size_general_register   (param._size_general_register  ),
     118//     _size_special_register   (param._size_special_register  ),
    111119
    112     _have_component_execute_queue (param._have_component_execute_queue),
    113     _have_port_context_id         (param._have_port_context_id        ),
    114     _have_port_front_end_id       (param._have_port_front_end_id      ),
    115     _have_port_ooo_engine_id      (param._have_port_ooo_engine_id     ),
    116     _have_port_packet_id          (param._have_port_packet_id         )
    117   {
    118     log_printf(FUNC,Write_unit,FUNCTION,"Begin");
     120//     _have_component_execute_queue (param._have_component_execute_queue),
     121//     _have_port_context_id         (param._have_port_context_id        ),
     122//     _have_port_front_end_id       (param._have_port_front_end_id      ),
     123//     _have_port_ooo_engine_id      (param._have_port_ooo_engine_id     ),
     124//     _have_port_packet_id          (param._have_port_packet_id         )
     125//   {
     126//     log_printf(FUNC,Write_unit,FUNCTION,"Begin");
    119127   
    120     _param_write_queue   = param._param_write_queue  ;
    121     _param_execute_queue = param._param_execute_queue;
     128//     _param_write_queue   = param._param_write_queue  ;
     129//     _param_execute_queue = param._param_execute_queue;
    122130
    123     test();
    124     log_printf(FUNC,Write_unit,FUNCTION,"End");
    125   };
     131//     test();
     132//     log_printf(FUNC,Write_unit,FUNCTION,"End");
     133//   };
    126134
    127135#undef  FUNCTION
    128136#define FUNCTION "Write_unit::~Parameters"
    129   Parameters::~Parameters ()
     137  Parameters::~Parameters (void)
    130138  {
    131139    log_printf(FUNC,Write_unit,FUNCTION,"Begin");
     
    139147  };
    140148
     149#undef  FUNCTION
     150#define FUNCTION "Write_unit::copy"
     151  void Parameters::copy (void)
     152  {
     153    log_printf(FUNC,Write_unit,FUNCTION,"Begin");
     154
     155    COPY(_param_write_queue);
     156    if (_have_component_execute_queue)
     157    COPY(_param_execute_queue);
     158
     159    log_printf(FUNC,Write_unit,FUNCTION,"End");
     160  };
     161
    141162}; // end namespace write_unit
    142163}; // end namespace multi_write_unit
     
    144165}; // end namespace multi_execute_loop
    145166}; // end namespace core
    146 
    147167}; // end namespace behavioural
    148168}; // end namespace morpheo             
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/src/Write_unit.cpp

    r81 r88  
    3838    log_printf(FUNC,Write_unit,FUNCTION,"Begin");
    3939
     40#if DEBUG_Write_unit == true
     41    log_printf(INFO,Write_unit,FUNCTION,_("<%s> Parameters"),_name.c_str());
     42
     43    std::cout << *param << std::endl;
     44#endif   
     45
    4046    log_printf(INFO,Write_unit,FUNCTION,"Allocation");
    4147
     
    4753
    4854#ifdef STATISTICS
    49     if (_usage & USE_STATISTICS)
     55    if (usage_is_set(_usage,USE_STATISTICS))
    5056      {
    5157        log_printf(INFO,Write_unit,FUNCTION,"Allocation of statistics");
     
    5662
    5763#ifdef VHDL
    58     if (_usage & USE_VHDL)
     64    if (usage_is_set(_usage,USE_VHDL))
    5965      {
    6066        // generate the vhdl
     
    6672
    6773#ifdef SYSTEMC
    68     if (_usage & USE_SYSTEMC)
     74    if (usage_is_set(_usage,USE_SYSTEMC))
    6975      {
    7076        log_printf(INFO,Write_unit,FUNCTION,"Method - transition");
     
    9096
    9197#ifdef STATISTICS
    92     if (_usage & USE_STATISTICS)
     98    if (usage_is_set(_usage,USE_STATISTICS))
    9399      {
    94100        log_printf(INFO,Write_unit,FUNCTION,"Generate Statistics file");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/src/Write_unit_allocation.cpp

    r82 r88  
    2323  void Write_unit::allocation (
    2424#ifdef STATISTICS
    25                                morpheo::behavioural::Parameters_Statistics * param_statistics
     25                               morpheo::behavioural::Parameters_Statistics * param_statistics
    2626#else
    27                                void
    28 #endif
    29                                )
     27                               void
     28#endif
     29                               )
    3030  {
    3131    log_printf(FUNC,Write_unit,FUNCTION,"Begin");
     
    3434
    3535    Entity * entity = _component->set_entity (_name       
    36                                               ,"Write_unit"
    37 #ifdef POSITION
    38                                               ,COMBINATORY
    39 #endif
    40                                               );
     36                                              ,"Write_unit"
     37#ifdef POSITION
     38                                              ,COMBINATORY
     39#endif
     40                                              );
    4141
    4242    _interfaces = entity->set_interfaces();
     
    4646      Interface * interface = _interfaces->set_interface(""
    4747#ifdef POSITION
    48                                                         ,IN
    49                                                         ,SOUTH,
    50                                                         "Generalist interface"
    51 #endif
    52                                                         );
     48                                                        ,IN
     49                                                        ,SOUTH,
     50                                                        "Generalist interface"
     51#endif
     52                                                        );
    5353
    5454     in_CLOCK        = interface->set_signal_clk              ("clock" ,1, CLOCK_VHDL_YES);
     
    6464       ALLOC_SIGNAL_IN ( in_WRITE_UNIT_IN_FRONT_END_ID ,"front_end_id" ,Tcontext_t        ,_param->_size_front_end_id     );
    6565       ALLOC_SIGNAL_IN ( in_WRITE_UNIT_IN_OOO_ENGINE_ID,"ooo_engine_id",Tcontext_t        ,_param->_size_ooo_engine_id    );
    66        ALLOC_SIGNAL_IN ( in_WRITE_UNIT_IN_PACKET_ID    ,"packet_id"    ,Tpacket_t         ,_param->_size_packet_id        );
     66       ALLOC_SIGNAL_IN ( in_WRITE_UNIT_IN_PACKET_ID    ,"packet_id"    ,Tpacket_t         ,_param->_size_rob_ptr          );
    6767//     ALLOC_SIGNAL_IN ( in_WRITE_UNIT_IN_OPERATION    ,"operation"    ,Toperation_t      ,_param->_size_operation        );
    6868       ALLOC_SIGNAL_IN ( in_WRITE_UNIT_IN_TYPE         ,"type"         ,Ttype_t           ,_param->_size_type             );
     
    8787       ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_FRONT_END_ID ,"front_end_id" ,Tcontext_t     ,_param->_size_front_end_id );
    8888       ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_OOO_ENGINE_ID,"ooo_engine_id",Tcontext_t     ,_param->_size_ooo_engine_id);
    89        ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_PACKET_ID    ,"packet_id"    ,Tpacket_t      ,_param->_size_packet_id    );
     89       ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_PACKET_ID    ,"packet_id"    ,Tpacket_t      ,_param->_size_rob_ptr      );
    9090//     ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_OPERATION    ,"operation"    ,Toperation_t   ,_param->_size_operation    );
    9191//     ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_TYPE         ,"type"         ,Ttype_t        ,_param->_size_type         );
     
    9494       ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_NO_SEQUENCE  ,"no_sequence"  ,Tcontrol_t     ,1                          );
    9595       ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_ADDRESS      ,"address"      ,Tgeneral_data_t,_param->_size_general_data );
     96       ALLOC_SIGNAL_OUT(out_WRITE_UNIT_OUT_DATA         ,"data"         ,Tgeneral_data_t,_param->_size_general_data );
    9697     }
    9798
     
    138139     {
    139140       name = _name+"_write_queue";
    140        
     141       log_printf(INFO,Core,FUNCTION,_("Create   : %s"),name.c_str());     
     142
    141143       component_write_queue  = new morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::write_queue::Write_queue
    142         (name.c_str()
     144        (name.c_str()
    143145#ifdef STATISTICS
    144           ,param_statistics
    145 #endif
    146           ,_param->_param_write_queue
    147           ,_usage);
     146          ,param_statistics
     147#endif
     148          ,_param->_param_write_queue
     149          ,_usage);
    148150       
    149151       _component->set_component (component_write_queue->_component
    150152#ifdef POSITION
    151                                   , 50, 50, 10, 10
    152 #endif
    153                                   );
     153                                  , 50, 50, 10, 10
     154#endif
     155                                  );
    154156     }
    155157
     
    157159     {
    158160       name = _name+"_execute_queue";
     161       log_printf(INFO,Core,FUNCTION,_("Create   : %s"),name.c_str());     
    159162       
    160163       component_execute_queue  = new morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_write_unit::write_unit::execute_queue::Execute_queue
    161         (name.c_str()
     164        (name.c_str()
    162165#ifdef STATISTICS
    163           ,param_statistics
    164 #endif
    165           ,_param->_param_execute_queue
    166           ,_usage);
     166          ,param_statistics
     167#endif
     168          ,_param->_param_execute_queue
     169          ,_usage);
    167170       
    168171       _component->set_component (component_execute_queue->_component
    169172#ifdef POSITION
    170                                   , 50, 50, 10, 10
    171 #endif
    172                                   );
     173                                  , 50, 50, 10, 10
     174#endif
     175                                  );
    173176     }
    174177
     
    176179     {
    177180       name = _name+"_write_queue";
    178        std::cout << "Instance : " << name << std::endl;
    179        
     181       log_printf(INFO,Core,FUNCTION,_("Instance : %s"),name.c_str());
     182       
    180183#ifdef POSITION
    181184       _component->interface_map (name ,"",
    182                                   _name,"");
     185                                  _name,"");
    183186#endif
    184187
     
    189192#ifdef POSITION
    190193       _component->interface_map (name ,"write_queue_in",
    191                                   _name,"write_unit_in");
     194                                  _name,"write_unit_in");
    192195#endif
    193196
     
    200203       if (_param->_have_port_ooo_engine_id)
    201204       _component->port_map(name, "in_WRITE_QUEUE_IN_OOO_ENGINE_ID", _name, "in_WRITE_UNIT_IN_OOO_ENGINE_ID");
    202        if (_param->_have_port_packet_id)
     205       if (_param->_have_port_rob_ptr)
    203206       _component->port_map(name, "in_WRITE_QUEUE_IN_PACKET_ID"    , _name, "in_WRITE_UNIT_IN_PACKET_ID"    );
    204207     //_component->port_map(name, "in_WRITE_QUEUE_IN_OPERATION"    , _name, "in_WRITE_UNIT_IN_OPERATION"    );
     
    216219
    217220       if (_param->_have_component_execute_queue)
    218          {
    219 #ifdef POSITION
    220            _component->interface_map (name ,"write_queue_out",
    221                                       _name+"_execute_queue", "execute_queue_in");
    222 #endif
    223 
    224            _component->port_map(name,"out_WRITE_QUEUE_OUT_VAL"          , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_VAL"          );
    225            _component->port_map(name, "in_WRITE_QUEUE_OUT_ACK"          , _name+"_execute_queue","out_EXECUTE_QUEUE_IN_ACK"          );
    226            if (_param->_have_port_context_id)
    227            _component->port_map(name,"out_WRITE_QUEUE_OUT_CONTEXT_ID"   , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_CONTEXT_ID"   );
    228            if (_param->_have_port_front_end_id)
    229            _component->port_map(name,"out_WRITE_QUEUE_OUT_FRONT_END_ID" , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_FRONT_END_ID" );
    230            if (_param->_have_port_ooo_engine_id)
    231            _component->port_map(name,"out_WRITE_QUEUE_OUT_OOO_ENGINE_ID", _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_OOO_ENGINE_ID");
    232            if (_param->_have_port_packet_id)
    233            _component->port_map(name,"out_WRITE_QUEUE_OUT_PACKET_ID"    , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_PACKET_ID"    );
    234          //_component->port_map(name,"out_WRITE_QUEUE_OUT_OPERATION"    , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_OPERATION"    );
    235          //_component->port_map(name,"out_WRITE_QUEUE_OUT_TYPE"         , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_TYPE"         );
    236            _component->port_map(name,"out_WRITE_QUEUE_OUT_FLAGS"        , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_FLAGS"        );
    237            _component->port_map(name,"out_WRITE_QUEUE_OUT_EXCEPTION"    , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_EXCEPTION"    );
    238            _component->port_map(name,"out_WRITE_QUEUE_OUT_NO_SEQUENCE"  , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_NO_SEQUENCE"  );
    239            _component->port_map(name,"out_WRITE_QUEUE_OUT_ADDRESS"      , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_ADDRESS"      );
    240          }
     221         {
     222#ifdef POSITION
     223           _component->interface_map (name ,"write_queue_out",
     224                                      _name+"_execute_queue", "execute_queue_in");
     225#endif
     226
     227           _component->port_map(name,"out_WRITE_QUEUE_OUT_VAL"          , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_VAL"          );
     228           _component->port_map(name, "in_WRITE_QUEUE_OUT_ACK"          , _name+"_execute_queue","out_EXECUTE_QUEUE_IN_ACK"          );
     229           if (_param->_have_port_context_id)
     230           _component->port_map(name,"out_WRITE_QUEUE_OUT_CONTEXT_ID"   , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_CONTEXT_ID"   );
     231           if (_param->_have_port_front_end_id)
     232           _component->port_map(name,"out_WRITE_QUEUE_OUT_FRONT_END_ID" , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_FRONT_END_ID" );
     233           if (_param->_have_port_ooo_engine_id)
     234           _component->port_map(name,"out_WRITE_QUEUE_OUT_OOO_ENGINE_ID", _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_OOO_ENGINE_ID");
     235           if (_param->_have_port_rob_ptr)
     236           _component->port_map(name,"out_WRITE_QUEUE_OUT_PACKET_ID"    , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_PACKET_ID"    );
     237         //_component->port_map(name,"out_WRITE_QUEUE_OUT_OPERATION"    , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_OPERATION"    );
     238         //_component->port_map(name,"out_WRITE_QUEUE_OUT_TYPE"         , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_TYPE"         );
     239           _component->port_map(name,"out_WRITE_QUEUE_OUT_FLAGS"        , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_FLAGS"        );
     240           _component->port_map(name,"out_WRITE_QUEUE_OUT_EXCEPTION"    , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_EXCEPTION"    );
     241           _component->port_map(name,"out_WRITE_QUEUE_OUT_NO_SEQUENCE"  , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_NO_SEQUENCE"  );
     242           _component->port_map(name,"out_WRITE_QUEUE_OUT_ADDRESS"      , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_ADDRESS"      );
     243           _component->port_map(name,"out_WRITE_QUEUE_OUT_DATA"         , _name+"_execute_queue", "in_EXECUTE_QUEUE_IN_DATA"         );
     244         }
    241245       else
    242          {
    243 #ifdef POSITION
    244            _component->interface_map (name ,"write_queue_out",
    245                                       _name,"write_unit_out");
    246 #endif
    247 
    248            _component->port_map(name,"out_WRITE_QUEUE_OUT_VAL"          , _name,"out_WRITE_UNIT_OUT_VAL"          );
    249            _component->port_map(name, "in_WRITE_QUEUE_OUT_ACK"          , _name, "in_WRITE_UNIT_OUT_ACK"          );
    250            if (_param->_have_port_context_id)
    251            _component->port_map(name,"out_WRITE_QUEUE_OUT_CONTEXT_ID"   , _name,"out_WRITE_UNIT_OUT_CONTEXT_ID"   );
    252            if (_param->_have_port_front_end_id)
    253            _component->port_map(name,"out_WRITE_QUEUE_OUT_FRONT_END_ID" , _name,"out_WRITE_UNIT_OUT_FRONT_END_ID" );
    254            if (_param->_have_port_ooo_engine_id)
    255            _component->port_map(name,"out_WRITE_QUEUE_OUT_OOO_ENGINE_ID", _name,"out_WRITE_UNIT_OUT_OOO_ENGINE_ID");
    256            if (_param->_have_port_packet_id)
    257            _component->port_map(name,"out_WRITE_QUEUE_OUT_PACKET_ID"    , _name,"out_WRITE_UNIT_OUT_PACKET_ID"    );
    258          //_component->port_map(name,"out_WRITE_QUEUE_OUT_OPERATION"    , _name,"out_WRITE_UNIT_OUT_OPERATION"    );
    259          //_component->port_map(name,"out_WRITE_QUEUE_OUT_TYPE"         , _name,"out_WRITE_UNIT_OUT_TYPE"         );
    260            _component->port_map(name,"out_WRITE_QUEUE_OUT_FLAGS"        , _name,"out_WRITE_UNIT_OUT_FLAGS"        );
    261            _component->port_map(name,"out_WRITE_QUEUE_OUT_EXCEPTION"    , _name,"out_WRITE_UNIT_OUT_EXCEPTION"    );
    262            _component->port_map(name,"out_WRITE_QUEUE_OUT_NO_SEQUENCE"  , _name,"out_WRITE_UNIT_OUT_NO_SEQUENCE"  );
    263            _component->port_map(name,"out_WRITE_QUEUE_OUT_ADDRESS"      , _name,"out_WRITE_UNIT_OUT_ADDRESS"      );
    264          }       
     246         {
     247#ifdef POSITION
     248           _component->interface_map (name ,"write_queue_out",
     249                                      _name,"write_unit_out");
     250#endif
     251
     252           _component->port_map(name,"out_WRITE_QUEUE_OUT_VAL"          , _name,"out_WRITE_UNIT_OUT_VAL"          );
     253           _component->port_map(name, "in_WRITE_QUEUE_OUT_ACK"          , _name, "in_WRITE_UNIT_OUT_ACK"          );
     254           if (_param->_have_port_context_id)
     255           _component->port_map(name,"out_WRITE_QUEUE_OUT_CONTEXT_ID"   , _name,"out_WRITE_UNIT_OUT_CONTEXT_ID"   );
     256           if (_param->_have_port_front_end_id)
     257           _component->port_map(name,"out_WRITE_QUEUE_OUT_FRONT_END_ID" , _name,"out_WRITE_UNIT_OUT_FRONT_END_ID" );
     258           if (_param->_have_port_ooo_engine_id)
     259           _component->port_map(name,"out_WRITE_QUEUE_OUT_OOO_ENGINE_ID", _name,"out_WRITE_UNIT_OUT_OOO_ENGINE_ID");
     260           if (_param->_have_port_rob_ptr)
     261           _component->port_map(name,"out_WRITE_QUEUE_OUT_PACKET_ID"    , _name,"out_WRITE_UNIT_OUT_PACKET_ID"    );
     262         //_component->port_map(name,"out_WRITE_QUEUE_OUT_OPERATION"    , _name,"out_WRITE_UNIT_OUT_OPERATION"    );
     263         //_component->port_map(name,"out_WRITE_QUEUE_OUT_TYPE"         , _name,"out_WRITE_UNIT_OUT_TYPE"         );
     264           _component->port_map(name,"out_WRITE_QUEUE_OUT_FLAGS"        , _name,"out_WRITE_UNIT_OUT_FLAGS"        );
     265           _component->port_map(name,"out_WRITE_QUEUE_OUT_EXCEPTION"    , _name,"out_WRITE_UNIT_OUT_EXCEPTION"    );
     266           _component->port_map(name,"out_WRITE_QUEUE_OUT_NO_SEQUENCE"  , _name,"out_WRITE_UNIT_OUT_NO_SEQUENCE"  );
     267           _component->port_map(name,"out_WRITE_QUEUE_OUT_ADDRESS"      , _name,"out_WRITE_UNIT_OUT_ADDRESS"      );
     268           _component->port_map(name,"out_WRITE_QUEUE_OUT_DATA"         , _name,"out_WRITE_UNIT_OUT_DATA"         );
     269         }       
    265270
    266271       for (uint32_t i=0; i<_param->_nb_gpr_write; i++)
    267         {
    268 #ifdef POSITION
    269            _component->interface_map (name ,"gpr_write_"+toString(i),
    270                                       _name,"gpr_write_"+toString(i));
    271 #endif     
    272            
    273            _component->port_map(name,"out_GPR_WRITE_"+toString(i)+"_VAL"          ,_name,"out_GPR_WRITE_"+toString(i)+"_VAL"          );
    274            _component->port_map(name, "in_GPR_WRITE_"+toString(i)+"_ACK"          ,_name, "in_GPR_WRITE_"+toString(i)+"_ACK"          );
    275            if (_param->_have_port_ooo_engine_id)
    276            _component->port_map(name,"out_GPR_WRITE_"+toString(i)+"_OOO_ENGINE_ID",_name,"out_GPR_WRITE_"+toString(i)+"_OOO_ENGINE_ID");
    277            _component->port_map(name,"out_GPR_WRITE_"+toString(i)+"_NUM_REG"      ,_name,"out_GPR_WRITE_"+toString(i)+"_NUM_REG"      );
    278            _component->port_map(name,"out_GPR_WRITE_"+toString(i)+"_DATA"         ,_name,"out_GPR_WRITE_"+toString(i)+"_DATA"         );
    279         }
     272        {
     273#ifdef POSITION
     274           _component->interface_map (name ,"gpr_write_"+toString(i),
     275                                      _name,"gpr_write_"+toString(i));
     276#endif     
     277           
     278           _component->port_map(name,"out_GPR_WRITE_"+toString(i)+"_VAL"          ,_name,"out_GPR_WRITE_"+toString(i)+"_VAL"          );
     279           _component->port_map(name, "in_GPR_WRITE_"+toString(i)+"_ACK"          ,_name, "in_GPR_WRITE_"+toString(i)+"_ACK"          );
     280           if (_param->_have_port_ooo_engine_id)
     281           _component->port_map(name,"out_GPR_WRITE_"+toString(i)+"_OOO_ENGINE_ID",_name,"out_GPR_WRITE_"+toString(i)+"_OOO_ENGINE_ID");
     282           _component->port_map(name,"out_GPR_WRITE_"+toString(i)+"_NUM_REG"      ,_name,"out_GPR_WRITE_"+toString(i)+"_NUM_REG"      );
     283           _component->port_map(name,"out_GPR_WRITE_"+toString(i)+"_DATA"         ,_name,"out_GPR_WRITE_"+toString(i)+"_DATA"         );
     284        }
    280285
    281286       for (uint32_t i=0; i<_param->_nb_spr_write; i++)
    282         {
    283 #ifdef POSITION
    284            _component->interface_map (name ,"spr_write_"+toString(i),
    285                                       _name,"spr_write_"+toString(i));
    286 #endif     
    287            
    288            _component->port_map(name,"out_SPR_WRITE_"+toString(i)+"_VAL"          ,_name,"out_SPR_WRITE_"+toString(i)+"_VAL"          );
    289            _component->port_map(name, "in_SPR_WRITE_"+toString(i)+"_ACK"          ,_name, "in_SPR_WRITE_"+toString(i)+"_ACK"          );
    290            if (_param->_have_port_ooo_engine_id)
    291            _component->port_map(name,"out_SPR_WRITE_"+toString(i)+"_OOO_ENGINE_ID",_name,"out_SPR_WRITE_"+toString(i)+"_OOO_ENGINE_ID");
    292            _component->port_map(name,"out_SPR_WRITE_"+toString(i)+"_NUM_REG"      ,_name,"out_SPR_WRITE_"+toString(i)+"_NUM_REG"      );
    293            _component->port_map(name,"out_SPR_WRITE_"+toString(i)+"_DATA"         ,_name,"out_SPR_WRITE_"+toString(i)+"_DATA"         );
    294         }
     287        {
     288#ifdef POSITION
     289           _component->interface_map (name ,"spr_write_"+toString(i),
     290                                      _name,"spr_write_"+toString(i));
     291#endif     
     292           
     293           _component->port_map(name,"out_SPR_WRITE_"+toString(i)+"_VAL"          ,_name,"out_SPR_WRITE_"+toString(i)+"_VAL"          );
     294           _component->port_map(name, "in_SPR_WRITE_"+toString(i)+"_ACK"          ,_name, "in_SPR_WRITE_"+toString(i)+"_ACK"          );
     295           if (_param->_have_port_ooo_engine_id)
     296           _component->port_map(name,"out_SPR_WRITE_"+toString(i)+"_OOO_ENGINE_ID",_name,"out_SPR_WRITE_"+toString(i)+"_OOO_ENGINE_ID");
     297           _component->port_map(name,"out_SPR_WRITE_"+toString(i)+"_NUM_REG"      ,_name,"out_SPR_WRITE_"+toString(i)+"_NUM_REG"      );
     298           _component->port_map(name,"out_SPR_WRITE_"+toString(i)+"_DATA"         ,_name,"out_SPR_WRITE_"+toString(i)+"_DATA"         );
     299        }
    295300
    296301
    297302       for (uint32_t i=0; i<_param->_nb_bypass_write; i++)
    298         {
    299 #ifdef POSITION
    300            _component->interface_map (name ,"bypass_write_"+toString(i),
    301                                       _name,"bypass_write_"+toString(i));
    302 #endif     
    303 
    304            if (_param->_have_port_ooo_engine_id)
    305            _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_OOO_ENGINE_ID",_name,"out_BYPASS_WRITE_"+toString(i)+"_OOO_ENGINE_ID");
    306            _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_VAL"      ,_name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_VAL"      );
    307            _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_NUM_REG"  ,_name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_NUM_REG"  );
    308            _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_DATA"     ,_name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_DATA"     );
    309            _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_VAL"      ,_name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_VAL"      );
    310            _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_NUM_REG"  ,_name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_NUM_REG"  );
    311            _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_DATA"     ,_name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_DATA"     );
    312         }
     303        {
     304#ifdef POSITION
     305           _component->interface_map (name ,"bypass_write_"+toString(i),
     306                                      _name,"bypass_write_"+toString(i));
     307#endif     
     308
     309           if (_param->_have_port_ooo_engine_id)
     310           _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_OOO_ENGINE_ID",_name,"out_BYPASS_WRITE_"+toString(i)+"_OOO_ENGINE_ID");
     311           _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_VAL"      ,_name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_VAL"      );
     312           _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_NUM_REG"  ,_name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_NUM_REG"  );
     313           _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_DATA"     ,_name,"out_BYPASS_WRITE_"+toString(i)+"_GPR_DATA"     );
     314           _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_VAL"      ,_name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_VAL"      );
     315           _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_NUM_REG"  ,_name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_NUM_REG"  );
     316           _component->port_map(name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_DATA"     ,_name,"out_BYPASS_WRITE_"+toString(i)+"_SPR_DATA"     );
     317        }
    313318     }
    314319
    315320     if (_param->_have_component_execute_queue)
    316321       {
    317         name = _name+"_execute_queue";
    318          std::cout << "Instance : " << name << std::endl;
    319        
    320 #ifdef POSITION
    321         _component->interface_map (name ,"",
    322                                     _name,"");
    323 #endif
    324        
    325         _component->port_map(name,"in_CLOCK" , _name, "in_CLOCK");
    326         _component->port_map(name,"in_NRESET", _name, "in_NRESET");
    327        
    328 
    329 #ifdef POSITION
    330         _component->interface_map (name ,"execute_queue_in",
    331                                     _name+"_write_queue","write_queue_in");
     322        name = _name+"_execute_queue";
     323         log_printf(INFO,Core,FUNCTION,_("Instance : %s"),name.c_str());
     324       
     325#ifdef POSITION
     326        _component->interface_map (name ,"",
     327                                    _name,"");
     328#endif
     329       
     330        _component->port_map(name,"in_CLOCK" , _name, "in_CLOCK");
     331        _component->port_map(name,"in_NRESET", _name, "in_NRESET");
     332       
     333
     334#ifdef POSITION
     335        _component->interface_map (name ,"execute_queue_in",
     336                                    _name+"_write_queue","write_queue_in");
    332337#endif
    333338
     
    340345         if (_param->_have_port_ooo_engine_id)
    341346         _component->port_map(name, "in_EXECUTE_QUEUE_IN_OOO_ENGINE_ID", _name+"_write_queue","out_WRITE_QUEUE_OUT_OOO_ENGINE_ID");
    342          if (_param->_have_port_packet_id)
     347         if (_param->_have_port_rob_ptr)
    343348         _component->port_map(name, "in_EXECUTE_QUEUE_IN_PACKET_ID"    , _name+"_write_queue","out_WRITE_QUEUE_OUT_PACKET_ID"    );
    344349       //_component->port_map(name, "in_EXECUTE_QUEUE_IN_OPERATION"    , _name+"_write_queue","out_WRITE_QUEUE_OUT_OPERATION"    );
     
    348353         _component->port_map(name, "in_EXECUTE_QUEUE_IN_NO_SEQUENCE"  , _name+"_write_queue","out_WRITE_QUEUE_OUT_NO_SEQUENCE"  );
    349354         _component->port_map(name, "in_EXECUTE_QUEUE_IN_ADDRESS"      , _name+"_write_queue","out_WRITE_QUEUE_OUT_ADDRESS"      );
    350 
    351 #ifdef POSITION
    352            _component->interface_map (name ,"execute_queue_out",
    353                                       _name,"write_unit_out");
    354 #endif
    355 
    356            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_VAL"          , _name,"out_WRITE_UNIT_OUT_VAL"          );
    357            _component->port_map(name, "in_EXECUTE_QUEUE_OUT_ACK"          , _name, "in_WRITE_UNIT_OUT_ACK"          );
    358            if (_param->_have_port_context_id)
    359            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_CONTEXT_ID"   , _name,"out_WRITE_UNIT_OUT_CONTEXT_ID"   );
    360            if (_param->_have_port_front_end_id)
    361            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_FRONT_END_ID" , _name,"out_WRITE_UNIT_OUT_FRONT_END_ID" );
    362            if (_param->_have_port_ooo_engine_id)
    363            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_OOO_ENGINE_ID", _name,"out_WRITE_UNIT_OUT_OOO_ENGINE_ID");
    364            if (_param->_have_port_packet_id)
    365            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_PACKET_ID"    , _name,"out_WRITE_UNIT_OUT_PACKET_ID"    );
    366          //_component->port_map(name,"out_EXECUTE_QUEUE_OUT_OPERATION"    , _name,"out_WRITE_UNIT_OUT_OPERATION"    );
    367          //_component->port_map(name,"out_EXECUTE_QUEUE_OUT_TYPE"         , _name,"out_WRITE_UNIT_OUT_TYPE"         );
    368            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_FLAGS"        , _name,"out_WRITE_UNIT_OUT_FLAGS"        );
    369            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_EXCEPTION"    , _name,"out_WRITE_UNIT_OUT_EXCEPTION"    );
    370            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_NO_SEQUENCE"  , _name,"out_WRITE_UNIT_OUT_NO_SEQUENCE"  );
    371            _component->port_map(name,"out_EXECUTE_QUEUE_OUT_ADDRESS"      , _name,"out_WRITE_UNIT_OUT_ADDRESS"      );
     355         _component->port_map(name, "in_EXECUTE_QUEUE_IN_DATA"         , _name+"_write_queue","out_WRITE_QUEUE_OUT_DATA"         );
     356
     357#ifdef POSITION
     358         _component->interface_map (name ,"execute_queue_out",
     359                                    _name,"write_unit_out");
     360#endif
     361
     362         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_VAL"          , _name,"out_WRITE_UNIT_OUT_VAL"          );
     363         _component->port_map(name, "in_EXECUTE_QUEUE_OUT_ACK"          , _name, "in_WRITE_UNIT_OUT_ACK"          );
     364         if (_param->_have_port_context_id)
     365         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_CONTEXT_ID"   , _name,"out_WRITE_UNIT_OUT_CONTEXT_ID"   );
     366         if (_param->_have_port_front_end_id)
     367         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_FRONT_END_ID" , _name,"out_WRITE_UNIT_OUT_FRONT_END_ID" );
     368         if (_param->_have_port_ooo_engine_id)
     369         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_OOO_ENGINE_ID", _name,"out_WRITE_UNIT_OUT_OOO_ENGINE_ID");
     370         if (_param->_have_port_rob_ptr)
     371         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_PACKET_ID"    , _name,"out_WRITE_UNIT_OUT_PACKET_ID"    );
     372       //_component->port_map(name,"out_EXECUTE_QUEUE_OUT_OPERATION"    , _name,"out_WRITE_UNIT_OUT_OPERATION"    );
     373       //_component->port_map(name,"out_EXECUTE_QUEUE_OUT_TYPE"         , _name,"out_WRITE_UNIT_OUT_TYPE"         );
     374         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_FLAGS"        , _name,"out_WRITE_UNIT_OUT_FLAGS"        );
     375         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_EXCEPTION"    , _name,"out_WRITE_UNIT_OUT_EXCEPTION"    );
     376         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_NO_SEQUENCE"  , _name,"out_WRITE_UNIT_OUT_NO_SEQUENCE"  );
     377         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_ADDRESS"      , _name,"out_WRITE_UNIT_OUT_ADDRESS"      );
     378         _component->port_map(name,"out_EXECUTE_QUEUE_OUT_DATA"         , _name,"out_WRITE_UNIT_OUT_DATA"         );
    372379       }
    373380
    374381#ifdef POSITION
    375     _component->generate_file();
     382    if (usage_is_set(_usage,USE_POSITION))
     383      _component->generate_file();
    376384#endif
    377385
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/src/Write_unit_deallocation.cpp

    r81 r88  
    2323    log_printf(FUNC,Write_unit,FUNCTION,"Begin");
    2424
    25     if (_usage & USE_SYSTEMC)
     25    if (usage_is_set(_usage,USE_SYSTEMC))
    2626      {
    2727        delete    in_CLOCK ;
     
    3737        if (_param->_have_port_ooo_engine_id)
    3838        delete      in_WRITE_UNIT_IN_OOO_ENGINE_ID;
    39         if (_param->_have_port_packet_id)
     39        if (_param->_have_port_rob_ptr)
    4040        delete      in_WRITE_UNIT_IN_PACKET_ID    ;
    4141//      delete      in_WRITE_UNIT_IN_OPERATION    ;
     
    6060        if (_param->_have_port_ooo_engine_id)
    6161        delete     out_WRITE_UNIT_OUT_OOO_ENGINE_ID;
    62         if (_param->_have_port_packet_id)
     62        if (_param->_have_port_rob_ptr)
    6363        delete     out_WRITE_UNIT_OUT_PACKET_ID    ;
    6464//      delete     out_WRITE_UNIT_OUT_OPERATION    ;
     
    6868        delete     out_WRITE_UNIT_OUT_NO_SEQUENCE  ;
    6969        delete     out_WRITE_UNIT_OUT_ADDRESS      ;
     70        delete     out_WRITE_UNIT_OUT_DATA         ;
    7071
    7172        // -----[ Interface "gpr_write" ]-------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/src/Write_unit_end_cycle.cpp

    r81 r88  
    2525
    2626#ifdef STATISTICS
    27     _stat->end_cycle();
     27    if (usage_is_set(_usage,USE_STATISTICS))
     28      _stat->end_cycle();
    2829#endif   
    2930
     
    3132    // Evaluation before read the ouput signal
    3233//  sc_start(0);
    33     _interfaces->testbench();
     34    if (usage_is_set(_usage,USE_VHDL_TESTBENCH))
     35      _interfaces->testbench();
    3436#endif
    3537
Note: See TracChangeset for help on using the changeset viewer.