cours2: cst4_32.h

File cst4_32.h, 220 bytes (added by fpecheux, 15 years ago)
Line 
1#ifndef _CST4_32_H
2#define _CST4_32_H
3#include "systemc.h"
4
5SC_MODULE(cst4_32)
6{
7        sc_out<sc_uint<32> > S;
8
9        SC_CTOR(cst4_32)
10        {
11                SC_METHOD(mWrite);
12        }
13
14        void mWrite()
15        {
16                S.write(4) ;
17        }
18};
19#endif
20