ex_adder: full_adder.cpp

File full_adder.cpp, 103 bytes (added by fpecheux, 15 years ago)
Line 
1// File : full_adder.cpp
2#include "full_adder.h"
3
4void full_adder::prc_or()
5{
6        carry_out = c1 | c2;
7}
8