ex_adder: full_adder_main.cpp

File full_adder_main.cpp, 585 bytes (added by fpecheux, 15 years ago)
Line 
1// File : full_adder_main.cpp
2#include "driver.h"
3#include "monitor.h"
4#include "full_adder.h"
5
6int sc_main(int argc,char *argv[])
7{
8        sc_signal<bool> t_a("a"), t_b("b"), t_cin("cin"), t_sum("sum"), t_cout("cout");
9
10        full_adder f1("FullAdderWithHalfAdder");
11        // Connect using positional association:
12        f1 << t_a << t_b << t_cin << t_sum << t_cout;
13
14        driver d1("GenerateWaveforms");
15        // Connect using named association:
16        d1.d_a(t_a);
17        d1.d_b(t_b);
18        d1.d_cin(t_cin);
19
20        monitor mo1("MonitorWaveforms");
21        mo1 << t_a << t_b << t_cin << t_sum << t_cout;
22
23        sc_start(100,SC_NS);
24
25        return(0);
26}
27