ex_adder: half_adder.cpp

File half_adder.cpp, 118 bytes (added by fpecheux, 15 years ago)
Line 
1// File : half_adder.cpp
2#include "half_adder.h"
3
4void half_adder::prc_half_adder()
5{
6        sum = a ^ b;
7        carry = a & b;
8}