ex_adder: half_adder.h

File half_adder.h, 263 bytes (added by fpecheux, 15 years ago)
Line 
1// File : half_adder.h
2#include "systemc.h"
3
4SC_MODULE(half_adder)
5{
6        sc_in<bool> a,b;
7        sc_out<bool> sum,carry;
8
9        void prc_half_adder();
10
11        SC_CTOR(half_adder) : a("a"),b("b"),sum("sum"),carry("carry")
12        {
13                SC_METHOD(prc_half_adder);
14                sensitive << a << b;
15        }
16};
17