ex_adder: monitor.h

File monitor.h, 309 bytes (added by fpecheux, 15 years ago)
Line 
1// File : monitor.h
2#include "systemc.h"
3
4SC_MODULE(monitor)
5{
6        sc_in<bool> m_a,m_b,m_cin,m_sum,m_cout;
7
8        void prc_monitor();
9
10        SC_CTOR(monitor) :m_a("m_a"),m_b("m_b"),m_cin("m_cin"),
11                m_sum("m_sum"),m_cout("m_cout")
12        {
13                SC_METHOD(prc_monitor);
14                sensitive << m_a << m_b << m_cin << m_sum << m_cout;
15        }
16};
17