Changes between Version 32 and Version 33 of ToolsCourseTp3


Ignore:
Timestamp:
Sep 18, 2008, 2:10:01 PM (16 years ago)
Author:
cobell
Comment:

--

Legend:

Unmodified
Added
Removed
Modified
  • ToolsCourseTp3

    v32 v33  
    55[[PageOutline]]
    66
    7 
    8 
    9 
    10 = Architecture interne du circuit Am2901 =
     7= 1 Architecture interne du circuit Am2901 =
    118
    129La description générale du processeur AM2901est donnée par
     
    1815    *  Le chemin de données contient les parties régulières de l'Amd2901 c'est à dire les registres et l'unité arithmétique et logique.
    1916
    20     *  La partie contrôle contient la logique irrégulière, c'est à dire le décodage des instructions
    21 et le calcul des "drapeaux" (indicateurs, ou "Flags").
     17    *  La partie contrôle contient la logique irrégulière, c'est à dire le décodage des instructions et le calcul des "drapeaux" (indicateurs, ou "Flags").
    2218
    2319[[Image(bloc.jpg, nolink)]]
    2420
     21 Nous utiliserons la description hiérarchique suivante :
     22
     23 [[Image(hier.jpg,nolink)]]]
     24
    2525Les Fichiers fournis sont les suivants :
    2626
    27  * [attachment:amd2901_ctl.vbe description - incomplête - du  comportement de la partie contrôle de l'AM2901]
     27 * [attachment:amd2901_ctl.vbe description - incomplète - du  comportement de la partie contrôle de l'AM2901]
    2828
    2929 * [attachment:amd2901_dpt.vbe description du comportement de la partie chemin de données de l'AM2901]
    3030
    31  * [attachment:amd2901_dpt.py description - incomplête - de la structure de la partie chemin de données, à compléter]
     31 * [attachment:amd2901_dpt.py description - incomplète - de la structure de la partie chemin de données, à compléter]
    3232
    3333 * [attachment:amd2901_core.vst  description logique du coeur de l'AMD2901]
     34
    3435
    3536 * [attachment:amd2901_chip.vst description logique du circuit contenant les plots et le coeur de l'AM2901]
     
    3940 * [attachment:CATAL Catalogue des modèles]
    4041
    41  * [attachment:Makefile Makefile pour automatiser la génération de la description structurelle du circuit AM2901]
     42= 2 Travail à effectuer =
    4243
    43  Nous utiliserons la description hiérarchique suivante :
     44  == 2.1 Description comportementale de la partie contrôle ==
    4445
     46  * Récupérer les différents fichiers fournis dans le répertoire de simulation.
     47    Le fichier CATAL doit contenir les lignes suivantes.
     48    Cela a pour effet d'indiquer au simulateur '''asimut''' qu'il faut utiliser les descriptions comportementales (.vbe) pour les blocs "amd2901_ctl" et de "amd2901_dpt".
    4549
    46  [[Image(hier.jpg,nolink)]]]
     50{{{
     51> amd2901_ctl C
     52> amd2901_dpt C
     53}}}
    4754
    48 = 1 Vérification des fichiers fournis =
    49 
    50 Récupérez le fichier CATAL dans votre répertoire de simulation. Il doit contenir les
    51 lignes suivantes :
    52 
    53      *  amd2901_ctl C
    54 
    55      *  amd2901_dpt C
    56 
    57 Cela a pour effet d'indiquer au simulateur '''asimut''' qu'il faut utiliser les descriptions comportementales
    58 (.vbe) pour les blocs "amd2901_ctl" et de "amd2901_dpt".
    59 
    60 Complétez le  fichier amd2901_ctl.vbe
    61 
    62 Lancer la simulation avec '''asimut''':
     55  * Compléter le  fichier amd2901_ctl.vbe
     56  * Lancer la simulation avec '''asimut'''.
    6357{{{
    6458> asimut amd2901_chip pattern resultat
    6559}}}
     60  * Controler le résultat en utilisant '''XPAT''' sur le fichier ''resultat''.
    6661
    67 Vous pouvez contrôler le résultat en utilisant '''XPAT''' sur le fichier "resultat".
     62  == 2.2 Synthèse de la partie contrôle ==
    6863
    69 = 2 Synthèse de la partie contrôle =
     64On souhaite réaliser la vue structurelle de la partie contrôle de l'Amd2901 à l'aide de la vue comportementale fournie.
    7065
    71 On souhaite réaliser la vue structurelle de la partie contrôle de l'Amd2901 à l'aide de la
    72 vue comportementale fournie. Pour ce faire on utilise de nouveau '''BOOG''' qui réalise
    73 
    74 la synthèse logique avec les cellules pre-caractérisées de '''SXLIB'''.
    75 
     66  * Utiliser '''BOOG''' pour réaliser la synthèse logique avec les cellules pre-caractérisées de '''SXLIB'''.
    7667{{{
    7768> boog amd2901_ctl
    7869}}}
    7970
    80 = 3 Validation du schéma de la partie contrôle =
     71  == 2.3 Validation du schéma de la partie contrôle ==
    8172
    82 On valide le schéma obtenu en simulant le circuit complet avec les
    83 vecteurs de test qui vous sont fournis.
    84 
    85 On remplacera la vue comportementale de la
    86 partie contrôle par la vue structurelle en ôtant le nom '''amd2901_ctl''' du fichier '''CATAL'''.
    87 
     73  * Utiliser '''ASIMUT''' pour valider le schéma obtenu en simulant le circuit complet avec les vecteurs de test fournis.
     74    Penser à remplacerer la vue comportementale de la partie contrôle par la vue structurelle en ôtant le nom '''amd2901_ctl''' du fichier '''CATAL''' !
    8875{{{
    8976> asimut -zerodelay amd2901_chip pattern resultat
     
    9279Notez que l'on réalise une simulation "zero délai" de la netlist. En cas de problème(s), n'hésitez pas à utiliser '''XPAT'''.
    9380
    94  
    95  
     81= 3 Compte rendu =
     82
     83Un seul compte rendu sera rendu pour les deux scéances de TPs concernant l'AM2901.
     84
     85Vous joindrez les fichiers source sans oublier le Makefile de façon à ce que la commande '''make''' automatise les différentes étapes du TP.