source: trunk/hardware/pic_conso.kicad_pro @ 14

Last change on this file since 14 was 14, checked in by bouyer, 9 months ago

Switch to new kicad format.
Add LED colors to descriptions

  • Property svn:mime-type set to application/json
File size: 19.5 KB
Line 
1{
2  "board": {
3    "3dviewports": [],
4    "design_settings": {
5      "defaults": {
6        "board_outline_line_width": 0.15,
7        "copper_line_width": 0.19999999999999998,
8        "copper_text_italic": false,
9        "copper_text_size_h": 1.5,
10        "copper_text_size_v": 1.5,
11        "copper_text_thickness": 0.3,
12        "copper_text_upright": false,
13        "courtyard_line_width": 0.049999999999999996,
14        "dimension_precision": 4,
15        "dimension_units": 3,
16        "dimensions": {
17          "arrow_length": 1270000,
18          "extension_offset": 500000,
19          "keep_text_aligned": true,
20          "suppress_zeroes": false,
21          "text_position": 0,
22          "units_format": 1
23        },
24        "fab_line_width": 0.09999999999999999,
25        "fab_text_italic": false,
26        "fab_text_size_h": 1.0,
27        "fab_text_size_v": 1.0,
28        "fab_text_thickness": 0.15,
29        "fab_text_upright": false,
30        "other_line_width": 0.09999999999999999,
31        "other_text_italic": false,
32        "other_text_size_h": 1.0,
33        "other_text_size_v": 1.0,
34        "other_text_thickness": 0.15,
35        "other_text_upright": false,
36        "pads": {
37          "drill": 4.0,
38          "height": 4.0,
39          "width": 4.0
40        },
41        "silk_line_width": 0.15,
42        "silk_text_italic": false,
43        "silk_text_size_h": 1.0,
44        "silk_text_size_v": 1.0,
45        "silk_text_thickness": 0.15,
46        "silk_text_upright": false,
47        "zones": {
48          "min_clearance": 0.39999999999999997
49        }
50      },
51      "diff_pair_dimensions": [],
52      "drc_exclusions": [],
53      "meta": {
54        "filename": "board_design_settings.json",
55        "version": 2
56      },
57      "rule_severities": {
58        "annular_width": "error",
59        "clearance": "error",
60        "connection_width": "warning",
61        "copper_edge_clearance": "error",
62        "copper_sliver": "warning",
63        "courtyards_overlap": "error",
64        "diff_pair_gap_out_of_range": "error",
65        "diff_pair_uncoupled_length_too_long": "error",
66        "drill_out_of_range": "error",
67        "duplicate_footprints": "warning",
68        "extra_footprint": "warning",
69        "footprint": "error",
70        "footprint_type_mismatch": "ignore",
71        "hole_clearance": "error",
72        "hole_near_hole": "error",
73        "invalid_outline": "error",
74        "isolated_copper": "warning",
75        "item_on_disabled_layer": "error",
76        "items_not_allowed": "error",
77        "length_out_of_range": "error",
78        "lib_footprint_issues": "warning",
79        "lib_footprint_mismatch": "warning",
80        "malformed_courtyard": "error",
81        "microvia_drill_out_of_range": "error",
82        "missing_courtyard": "ignore",
83        "missing_footprint": "warning",
84        "net_conflict": "warning",
85        "npth_inside_courtyard": "ignore",
86        "padstack": "warning",
87        "pth_inside_courtyard": "ignore",
88        "shorting_items": "error",
89        "silk_edge_clearance": "warning",
90        "silk_over_copper": "warning",
91        "silk_overlap": "warning",
92        "skew_out_of_range": "error",
93        "solder_mask_bridge": "error",
94        "starved_thermal": "error",
95        "text_height": "warning",
96        "text_thickness": "warning",
97        "through_hole_pad_without_hole": "error",
98        "too_many_vias": "error",
99        "track_dangling": "warning",
100        "track_width": "error",
101        "tracks_crossing": "error",
102        "unconnected_items": "error",
103        "unresolved_variable": "error",
104        "via_dangling": "warning",
105        "zones_intersect": "error"
106      },
107      "rule_severitieslegacy_courtyards_overlap": true,
108      "rule_severitieslegacy_no_courtyard_defined": false,
109      "rules": {
110        "max_error": 0.005,
111        "min_clearance": 0.0,
112        "min_connection": 0.0,
113        "min_copper_edge_clearance": 0.075,
114        "min_hole_clearance": 0.25,
115        "min_hole_to_hole": 0.25,
116        "min_microvia_diameter": 0.19999999999999998,
117        "min_microvia_drill": 0.09999999999999999,
118        "min_resolved_spokes": 2,
119        "min_silk_clearance": 0.0,
120        "min_text_height": 0.7999999999999999,
121        "min_text_thickness": 0.08,
122        "min_through_hole_diameter": 0.5,
123        "min_track_width": 0.5,
124        "min_via_annular_width": 0.09999999999999999,
125        "min_via_diameter": 0.7999999999999999,
126        "solder_mask_to_copper_clearance": 0.0,
127        "use_height_for_length_calcs": true
128      },
129      "teardrop_options": [
130        {
131          "td_allow_use_two_tracks": true,
132          "td_curve_segcount": 5,
133          "td_on_pad_in_zone": false,
134          "td_onpadsmd": true,
135          "td_onroundshapesonly": false,
136          "td_ontrackend": false,
137          "td_onviapad": true
138        }
139      ],
140      "teardrop_parameters": [
141        {
142          "td_curve_segcount": 0,
143          "td_height_ratio": 1.0,
144          "td_length_ratio": 0.5,
145          "td_maxheight": 2.0,
146          "td_maxlen": 1.0,
147          "td_target_name": "td_round_shape",
148          "td_width_to_size_filter_ratio": 0.9
149        },
150        {
151          "td_curve_segcount": 0,
152          "td_height_ratio": 1.0,
153          "td_length_ratio": 0.5,
154          "td_maxheight": 2.0,
155          "td_maxlen": 1.0,
156          "td_target_name": "td_rect_shape",
157          "td_width_to_size_filter_ratio": 0.9
158        },
159        {
160          "td_curve_segcount": 0,
161          "td_height_ratio": 1.0,
162          "td_length_ratio": 0.5,
163          "td_maxheight": 2.0,
164          "td_maxlen": 1.0,
165          "td_target_name": "td_track_end",
166          "td_width_to_size_filter_ratio": 0.9
167        }
168      ],
169      "track_widths": [
170        0.0,
171        0.7,
172        0.8,
173        0.9,
174        1.0,
175        2.0,
176        4.0
177      ],
178      "via_dimensions": [
179        {
180          "diameter": 0.0,
181          "drill": 0.0
182        },
183        {
184          "diameter": 0.8,
185          "drill": 0.5
186        },
187        {
188          "diameter": 1.0,
189          "drill": 0.6
190        },
191        {
192          "diameter": 1.7,
193          "drill": 0.8
194        },
195        {
196          "diameter": 2.0,
197          "drill": 0.8
198        },
199        {
200          "diameter": 2.0,
201          "drill": 1.0
202        }
203      ],
204      "zones_allow_external_fillets": false,
205      "zones_use_no_outline": true
206    },
207    "layer_presets": [],
208    "viewports": []
209  },
210  "boards": [],
211  "cvpcb": {
212    "equivalence_files": []
213  },
214  "erc": {
215    "erc_exclusions": [],
216    "meta": {
217      "version": 0
218    },
219    "pin_map": [
220      [
221        0,
222        0,
223        0,
224        0,
225        0,
226        0,
227        1,
228        0,
229        0,
230        0,
231        0,
232        2
233      ],
234      [
235        0,
236        2,
237        0,
238        1,
239        0,
240        0,
241        1,
242        0,
243        2,
244        2,
245        2,
246        2
247      ],
248      [
249        0,
250        0,
251        0,
252        0,
253        0,
254        0,
255        1,
256        0,
257        1,
258        0,
259        1,
260        2
261      ],
262      [
263        0,
264        1,
265        0,
266        0,
267        0,
268        0,
269        1,
270        1,
271        2,
272        1,
273        1,
274        2
275      ],
276      [
277        0,
278        0,
279        0,
280        0,
281        0,
282        0,
283        1,
284        0,
285        0,
286        0,
287        0,
288        2
289      ],
290      [
291        0,
292        0,
293        0,
294        0,
295        0,
296        0,
297        0,
298        0,
299        0,
300        0,
301        0,
302        2
303      ],
304      [
305        1,
306        1,
307        1,
308        1,
309        1,
310        0,
311        1,
312        1,
313        1,
314        1,
315        1,
316        2
317      ],
318      [
319        0,
320        0,
321        0,
322        1,
323        0,
324        0,
325        1,
326        0,
327        0,
328        0,
329        0,
330        2
331      ],
332      [
333        0,
334        2,
335        1,
336        2,
337        0,
338        0,
339        1,
340        0,
341        2,
342        2,
343        2,
344        2
345      ],
346      [
347        0,
348        2,
349        0,
350        1,
351        0,
352        0,
353        1,
354        0,
355        2,
356        0,
357        0,
358        2
359      ],
360      [
361        0,
362        2,
363        1,
364        1,
365        0,
366        0,
367        1,
368        0,
369        2,
370        0,
371        0,
372        2
373      ],
374      [
375        2,
376        2,
377        2,
378        2,
379        2,
380        2,
381        2,
382        2,
383        2,
384        2,
385        2,
386        2
387      ]
388    ],
389    "rule_severities": {
390      "bus_definition_conflict": "error",
391      "bus_entry_needed": "error",
392      "bus_to_bus_conflict": "error",
393      "bus_to_net_conflict": "error",
394      "conflicting_netclasses": "error",
395      "different_unit_footprint": "error",
396      "different_unit_net": "error",
397      "duplicate_reference": "error",
398      "duplicate_sheet_names": "error",
399      "endpoint_off_grid": "warning",
400      "extra_units": "error",
401      "global_label_dangling": "warning",
402      "hier_label_mismatch": "error",
403      "label_dangling": "error",
404      "lib_symbol_issues": "warning",
405      "missing_bidi_pin": "warning",
406      "missing_input_pin": "warning",
407      "missing_power_pin": "error",
408      "missing_unit": "warning",
409      "multiple_net_names": "warning",
410      "net_not_bus_member": "warning",
411      "no_connect_connected": "warning",
412      "no_connect_dangling": "warning",
413      "pin_not_connected": "error",
414      "pin_not_driven": "error",
415      "pin_to_pin": "warning",
416      "power_pin_not_driven": "error",
417      "similar_labels": "warning",
418      "simulation_model_issue": "ignore",
419      "unannotated": "error",
420      "unit_value_mismatch": "error",
421      "unresolved_variable": "error",
422      "wire_dangling": "error"
423    }
424  },
425  "libraries": {
426    "pinned_footprint_libs": [],
427    "pinned_symbol_libs": []
428  },
429  "meta": {
430    "filename": "pic_conso.kicad_pro",
431    "version": 1
432  },
433  "net_settings": {
434    "classes": [
435      {
436        "bus_width": 12,
437        "clearance": 0.4,
438        "diff_pair_gap": 0.25,
439        "diff_pair_via_gap": 0.25,
440        "diff_pair_width": 0.2,
441        "line_style": 0,
442        "microvia_diameter": 0.3,
443        "microvia_drill": 0.1,
444        "name": "Default",
445        "pcb_color": "rgba(0, 0, 0, 0.000)",
446        "schematic_color": "rgba(0, 0, 0, 0.000)",
447        "track_width": 0.5,
448        "via_diameter": 1.0,
449        "via_drill": 0.8,
450        "wire_width": 6
451      },
452      {
453        "bus_width": 12,
454        "clearance": 1.0,
455        "diff_pair_gap": 0.25,
456        "diff_pair_via_gap": 0.25,
457        "diff_pair_width": 0.2,
458        "line_style": 0,
459        "microvia_diameter": 0.3,
460        "microvia_drill": 0.1,
461        "name": "power",
462        "pcb_color": "rgba(0, 0, 0, 0.000)",
463        "schematic_color": "rgba(0, 0, 0, 0.000)",
464        "track_width": 1.0,
465        "via_diameter": 1.0,
466        "via_drill": 0.8,
467        "wire_width": 6
468      },
469      {
470        "bus_width": 12,
471        "clearance": 1.0,
472        "diff_pair_gap": 0.25,
473        "diff_pair_via_gap": 0.25,
474        "diff_pair_width": 0.2,
475        "line_style": 0,
476        "microvia_diameter": 0.3,
477        "microvia_drill": 0.1,
478        "name": "power2",
479        "pcb_color": "rgba(0, 0, 0, 0.000)",
480        "schematic_color": "rgba(0, 0, 0, 0.000)",
481        "track_width": 4.0,
482        "via_diameter": 1.0,
483        "via_drill": 0.8,
484        "wire_width": 6
485      },
486      {
487        "bus_width": 12,
488        "clearance": 1.0,
489        "diff_pair_gap": 0.25,
490        "diff_pair_via_gap": 0.25,
491        "diff_pair_width": 0.2,
492        "line_style": 0,
493        "microvia_diameter": 0.3,
494        "microvia_drill": 0.1,
495        "name": "powermedium",
496        "pcb_color": "rgba(0, 0, 0, 0.000)",
497        "schematic_color": "rgba(0, 0, 0, 0.000)",
498        "track_width": 0.5,
499        "via_diameter": 1.0,
500        "via_drill": 0.8,
501        "wire_width": 6
502      },
503      {
504        "bus_width": 12,
505        "clearance": 0.9,
506        "diff_pair_gap": 0.25,
507        "diff_pair_via_gap": 0.25,
508        "diff_pair_width": 0.2,
509        "line_style": 0,
510        "microvia_diameter": 0.3,
511        "microvia_drill": 0.1,
512        "name": "powersmall",
513        "pcb_color": "rgba(0, 0, 0, 0.000)",
514        "schematic_color": "rgba(0, 0, 0, 0.000)",
515        "track_width": 0.5,
516        "via_diameter": 1.0,
517        "via_drill": 0.8,
518        "wire_width": 6
519      }
520    ],
521    "meta": {
522      "version": 3
523    },
524    "net_colors": null,
525    "netclass_assignments": null,
526    "netclass_patterns": [
527      {
528        "netclass": "Default",
529        "pattern": "+3V3"
530      },
531      {
532        "netclass": "Default",
533        "pattern": "/3.3V_USB"
534      },
535      {
536        "netclass": "Default",
537        "pattern": "/GNDO"
538      },
539      {
540        "netclass": "Default",
541        "pattern": "/VCCI"
542      },
543      {
544        "netclass": "Default",
545        "pattern": "/VCCO"
546      },
547      {
548        "netclass": "Default",
549        "pattern": "/VCC_USB"
550      },
551      {
552        "netclass": "Default",
553        "pattern": "GND"
554      },
555      {
556        "netclass": "Default",
557        "pattern": "GNDD"
558      },
559      {
560        "netclass": "Default",
561        "pattern": "Net-(C2-Pad2)"
562      },
563      {
564        "netclass": "Default",
565        "pattern": "Net-(C5-Pad1)"
566      },
567      {
568        "netclass": "Default",
569        "pattern": "Net-(C6-Pad1)"
570      },
571      {
572        "netclass": "Default",
573        "pattern": "Net-(D1-Pad2)"
574      },
575      {
576        "netclass": "Default",
577        "pattern": "Net-(D2-Pad2)"
578      },
579      {
580        "netclass": "Default",
581        "pattern": "Net-(D3-Pad2)"
582      },
583      {
584        "netclass": "Default",
585        "pattern": "Net-(D4-Pad2)"
586      },
587      {
588        "netclass": "Default",
589        "pattern": "Net-(IC1-Pad10)"
590      },
591      {
592        "netclass": "Default",
593        "pattern": "Net-(IC1-Pad12)"
594      },
595      {
596        "netclass": "Default",
597        "pattern": "Net-(IC1-Pad18)"
598      },
599      {
600        "netclass": "Default",
601        "pattern": "Net-(IC1-Pad19)"
602      },
603      {
604        "netclass": "Default",
605        "pattern": "Net-(IC1-Pad2)"
606      },
607      {
608        "netclass": "Default",
609        "pattern": "Net-(IC1-Pad3)"
610      },
611      {
612        "netclass": "Default",
613        "pattern": "Net-(IC1-Pad4)"
614      },
615      {
616        "netclass": "Default",
617        "pattern": "Net-(J1-Pad2)"
618      },
619      {
620        "netclass": "Default",
621        "pattern": "Net-(J1-Pad4)"
622      },
623      {
624        "netclass": "Default",
625        "pattern": "Net-(J1-Pad6)"
626      },
627      {
628        "netclass": "Default",
629        "pattern": "Net-(J2-Pad1)"
630      },
631      {
632        "netclass": "Default",
633        "pattern": "Net-(J3-Pad1)"
634      },
635      {
636        "netclass": "Default",
637        "pattern": "Net-(J4-Pad1)"
638      },
639      {
640        "netclass": "Default",
641        "pattern": "Net-(J5-Pad1)"
642      },
643      {
644        "netclass": "Default",
645        "pattern": "Net-(P3-Pad1)"
646      },
647      {
648        "netclass": "Default",
649        "pattern": "Net-(P3-Pad2)"
650      },
651      {
652        "netclass": "Default",
653        "pattern": "Net-(P3-Pad3)"
654      },
655      {
656        "netclass": "Default",
657        "pattern": "Net-(P3-Pad5)"
658      },
659      {
660        "netclass": "Default",
661        "pattern": "Net-(P4-Pad1)"
662      },
663      {
664        "netclass": "Default",
665        "pattern": "Net-(P4-Pad2)"
666      },
667      {
668        "netclass": "Default",
669        "pattern": "Net-(P5-Pad2)"
670      },
671      {
672        "netclass": "Default",
673        "pattern": "Net-(P6-Pad1)"
674      },
675      {
676        "netclass": "Default",
677        "pattern": "Net-(P6-Pad2)"
678      },
679      {
680        "netclass": "Default",
681        "pattern": "Net-(P6-Pad3)"
682      },
683      {
684        "netclass": "Default",
685        "pattern": "Net-(P6-Pad4)"
686      },
687      {
688        "netclass": "Default",
689        "pattern": "Net-(P6-Pad5)"
690      },
691      {
692        "netclass": "Default",
693        "pattern": "Net-(P6-Pad6)"
694      },
695      {
696        "netclass": "Default",
697        "pattern": "Net-(P6-Pad7)"
698      },
699      {
700        "netclass": "Default",
701        "pattern": "Net-(P6-Pad8)"
702      },
703      {
704        "netclass": "Default",
705        "pattern": "Net-(P7-Pad1)"
706      },
707      {
708        "netclass": "Default",
709        "pattern": "Net-(P7-Pad2)"
710      },
711      {
712        "netclass": "Default",
713        "pattern": "Net-(Q1-Pad3)"
714      },
715      {
716        "netclass": "Default",
717        "pattern": "Net-(R11-Pad1)"
718      },
719      {
720        "netclass": "Default",
721        "pattern": "Net-(R13-Pad1)"
722      },
723      {
724        "netclass": "Default",
725        "pattern": "Net-(R13-Pad2)"
726      },
727      {
728        "netclass": "Default",
729        "pattern": "Net-(R14-Pad1)"
730      },
731      {
732        "netclass": "Default",
733        "pattern": "Net-(R15-Pad1)"
734      },
735      {
736        "netclass": "Default",
737        "pattern": "Net-(R17-Pad2)"
738      },
739      {
740        "netclass": "Default",
741        "pattern": "Net-(R18-Pad2)"
742      },
743      {
744        "netclass": "Default",
745        "pattern": "Net-(R20-Pad2)"
746      },
747      {
748        "netclass": "Default",
749        "pattern": "Net-(R21-Pad2)"
750      },
751      {
752        "netclass": "Default",
753        "pattern": "Net-(R22-Pad2)"
754      },
755      {
756        "netclass": "Default",
757        "pattern": "Net-(R23-Pad2)"
758      },
759      {
760        "netclass": "Default",
761        "pattern": "Net-(R24-Pad2)"
762      },
763      {
764        "netclass": "Default",
765        "pattern": "Net-(R25-Pad2)"
766      },
767      {
768        "netclass": "Default",
769        "pattern": "Net-(R26-Pad2)"
770      },
771      {
772        "netclass": "Default",
773        "pattern": "Net-(R27-Pad2)"
774      },
775      {
776        "netclass": "Default",
777        "pattern": "Net-(R36-Pad1)"
778      },
779      {
780        "netclass": "Default",
781        "pattern": "Net-(R36-Pad2)"
782      },
783      {
784        "netclass": "Default",
785        "pattern": "Net-(R37-Pad1)"
786      },
787      {
788        "netclass": "Default",
789        "pattern": "Net-(R39-Pad1)"
790      },
791      {
792        "netclass": "Default",
793        "pattern": "Net-(R40-Pad1)"
794      },
795      {
796        "netclass": "Default",
797        "pattern": "Net-(R41-Pad1)"
798      },
799      {
800        "netclass": "Default",
801        "pattern": "Net-(U4-Pad6)"
802      },
803      {
804        "netclass": "Default",
805        "pattern": "Net-(U6-Pad18)"
806      },
807      {
808        "netclass": "Default",
809        "pattern": "Net-(U6-Pad7)"
810      },
811      {
812        "netclass": "Default",
813        "pattern": "PWR_ON"
814      },
815      {
816        "netclass": "Default",
817        "pattern": "RL1"
818      },
819      {
820        "netclass": "Default",
821        "pattern": "RL2"
822      }
823    ]
824  },
825  "pcbnew": {
826    "last_paths": {
827      "gencad": "",
828      "idf": "",
829      "netlist": "pic_conso.net",
830      "specctra_dsn": "",
831      "step": "",
832      "vrml": ""
833    },
834    "page_layout_descr_file": ""
835  },
836  "schematic": {
837    "annotate_start_num": 0,
838    "drawing": {
839      "dashed_lines_dash_length_ratio": 12.0,
840      "dashed_lines_gap_length_ratio": 3.0,
841      "default_line_thickness": 6.0,
842      "default_text_size": 60.0,
843      "field_names": [],
844      "intersheets_ref_own_page": false,
845      "intersheets_ref_prefix": "",
846      "intersheets_ref_short": false,
847      "intersheets_ref_show": false,
848      "intersheets_ref_suffix": "",
849      "junction_size_choice": 3,
850      "label_size_ratio": 0.25,
851      "pin_symbol_size": 0.0,
852      "text_offset_ratio": 0.08
853    },
854    "legacy_lib_dir": "",
855    "legacy_lib_list": [],
856    "meta": {
857      "version": 1
858    },
859    "net_format_name": "",
860    "ngspice": {
861      "fix_include_paths": true,
862      "fix_passive_vals": false,
863      "meta": {
864        "version": 0
865      },
866      "model_mode": 0,
867      "workbook_filename": ""
868    },
869    "page_layout_descr_file": "",
870    "plot_directory": "",
871    "spice_adjust_passive_values": false,
872    "spice_current_sheet_as_root": false,
873    "spice_external_command": "spice \"%I\"",
874    "spice_model_current_sheet_as_root": true,
875    "spice_save_all_currents": false,
876    "spice_save_all_voltages": false,
877    "subpart_first_id": 65,
878    "subpart_id_separator": 0
879  },
880  "sheets": [
881    [
882      "dbd94551-289e-45a0-a432-46285fad0631",
883      ""
884    ]
885  ],
886  "text_variables": {}
887}
Note: See TracBrowser for help on using the repository browser.