Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:12.3 (ISE) - M.70d Target Family: Spartan6
OS Platform: NT64 Target Device: xc6slx45
Project ID (random number) 67a15295ee104f8eb91a0ab5f5167ee8.E0B60106E9D849C5917F344B8FD41FA5.2 Target Package: csg324
Registration ID No user information available. Target Speed: -3
Date Generated 2012-12-19T13:42:44 Tool Flow ISE
 
User Environment
OS Name Microsoft OS Release Service Pack 1 (build 7601)
CPU Name Intel(R) Core(TM) i7-2670QM CPU @ 2.20GHz CPU Speed 2195 MHz
OS Name Microsoft OS Release Service Pack 1 (build 7601)
CPU Name Intel(R) Core(TM) i7-2670QM CPU @ 2.20GHz CPU Speed 2195 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Adders/Subtractors=333
  • 16-bit adder=64
  • 17-bit adder=3
  • 2-bit adder=16
  • 31-bit adder=16
  • 4-bit adder=27
  • 4-bit addsub=16
  • 5-bit adder=8
  • 6-bit adder=16
  • 6-bit subtractor=16
  • 8-bit adder=70
  • 8-bit addsub=8
  • 8-bit subtractor=51
  • 9-bit adder=14
  • 9-bit subtractor=8
Comparators=150
  • 31-bit comparator equal=8
  • 31-bit comparator greater=8
  • 4-bit comparator greater=32
  • 4-bit comparator lessequal=8
  • 8-bit comparator greater=72
  • 8-bit comparator lessequal=6
  • 9-bit comparator greater=16
Counters=83
  • 4-bit up counter=19
  • 6-bit up counter=32
  • 8-bit up counter=32
FSMs=116 Multiplexers=9486
  • 1-bit 2-to-1 multiplexer=7695
  • 1-bit 3-to-1 multiplexer=8
  • 1-bit 4-to-1 multiplexer=32
  • 1-bit 5-to-1 multiplexer=8
  • 1-bit 6-to-1 multiplexer=8
  • 16-bit 2-to-1 multiplexer=215
  • 16-bit 3-to-1 multiplexer=3
  • 17-bit 2-to-1 multiplexer=45
  • 2-bit 2-to-1 multiplexer=232
  • 4-bit 2-to-1 multiplexer=576
  • 4-bit 3-to-1 multiplexer=16
  • 6-bit 2-to-1 multiplexer=48
  • 8-bit 2-to-1 multiplexer=562
  • 8-bit 3-to-1 multiplexer=3
  • 8-bit 4-to-1 multiplexer=11
  • 9-bit 2-to-1 multiplexer=21
  • 9-bit 4-to-1 multiplexer=3
Multipliers=3
  • 8x4-bit multiplier=3
RAMs=75
  • 16x1-bit single-port distributed Read Only RAM=24
  • 256x8-bit dual-port distributed RAM=16
  • 32x8-bit single-port distributed Read Only RAM=8
  • 64x8-bit dual-port distributed RAM=16
  • 8192x8-bit dual-port distributed RAM=3
  • 8x1-bit single-port distributed Read Only RAM=8
Registers=3764
  • Flip-Flops=3764
MiscellaneousStatistics
  • AGG_BONDED_IO=10
  • AGG_IO=10
  • AGG_SLICE=4676
  • NUM_BONDED_IOB=10
  • NUM_BSFULL=4079
  • NUM_BSLUTONLY=12226
  • NUM_BSREGONLY=260
  • NUM_BSUSED=16565
  • NUM_BUFG=4
  • NUM_DPRAM_O6ONLY=5528
  • NUM_LOGIC_O5ANDO6=1927
  • NUM_LOGIC_O5ONLY=569
  • NUM_LOGIC_O6ONLY=8169
  • NUM_LUT_RT_DRIVES_CARRY4=72
  • NUM_LUT_RT_EXO6=72
  • NUM_LUT_RT_O5=10
  • NUM_LUT_RT_O6=569
  • NUM_SLICEL=476
  • NUM_SLICEM=1392
  • NUM_SLICEX=2808
  • NUM_SLICE_CARRY4=194
  • NUM_SLICE_CONTROLSET=1154
  • NUM_SLICE_CYINIT=18883
  • NUM_SLICE_F7MUX=290
  • NUM_SLICE_FF=3798
  • NUM_SLICE_LATCH=782
  • NUM_SLICE_UNUSEDCTRL=1234
  • NUM_SPRAM_O6ONLY=40
  • NUM_UNUSABLE_FF_BELS=4356
NetStatistics
  • NumNets_Active=18220
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • NumNodesOfType_Active_BOUNCEACROSS=1416
  • NumNodesOfType_Active_BOUNCEIN=3581
  • NumNodesOfType_Active_BUFGOUT=4
  • NumNodesOfType_Active_BUFHINP2OUT=22
  • NumNodesOfType_Active_CLKPIN=3442
  • NumNodesOfType_Active_CLKPINFEED=34
  • NumNodesOfType_Active_CNTRLPIN=3147
  • NumNodesOfType_Active_DOUBLE=52282
  • NumNodesOfType_Active_GENERIC=7
  • NumNodesOfType_Active_GLOBAL=463
  • NumNodesOfType_Active_INPUT=126
  • NumNodesOfType_Active_IOBIN2OUT=5
  • NumNodesOfType_Active_IOBOUTPUT=5
  • NumNodesOfType_Active_LUTINPUT=87001
  • NumNodesOfType_Active_OUTBOUND=17369
  • NumNodesOfType_Active_OUTPUT=18722
  • NumNodesOfType_Active_PADINPUT=4
  • NumNodesOfType_Active_PADOUTPUT=2
  • NumNodesOfType_Active_PINBOUNCE=16851
  • NumNodesOfType_Active_PINFEED=91097
  • NumNodesOfType_Active_QUAD=30841
  • NumNodesOfType_Active_REGINPUT=5423
  • NumNodesOfType_Active_SINGLE=57703
  • NumNodesOfType_Vcc_HVCCOUT=1333
  • NumNodesOfType_Vcc_KVCCOUT=25
  • NumNodesOfType_Vcc_LUTINPUT=2626
  • NumNodesOfType_Vcc_PINBOUNCE=21
  • NumNodesOfType_Vcc_PINFEED=2626
  • NumNodesOfType_Vcc_REGINPUT=21
SiteStatistics
  • BUFG-BUFGMUX=4
  • IOB-IOBM=5
  • IOB-IOBS=5
  • SLICEL-SLICEM=109
  • SLICEX-SLICEL=752
  • SLICEX-SLICEM=35
SiteSummary
  • BUFG=4
  • BUFG_BUFG=4
  • CARRY4=194
  • FF_SR=354
  • HARD0=72
  • IOB=10
  • IOB_IMUX=2
  • IOB_INBUF=2
  • IOB_OUTBUF=8
  • LUT5=2506
  • LUT6=10737
  • LUT_OR_MEM6=5568
  • PAD=10
  • REG_SR=4226
  • SELMUX2_1=290
  • SLICEL=476
  • SLICEM=1392
  • SLICEX=2808
 
Configuration Data
FF_SR
  • CK=[CK:354] [CK_INV:0]
  • SRINIT=[SRINIT0:354]
  • SYNC_ATTR=[ASYNC:194] [SYNC:160]
IOB_OUTBUF
  • DRIVEATTRBOX=[12:8]
  • SLEW=[SLOW:8]
  • SUSPEND=[3STATE:8]
LUT_OR_MEM6
  • CLK=[CLK:5568] [CLK_INV:0]
  • LUT_OR_MEM=[RAM:5568]
  • RAMMODE=[SPRAM64:40] [DPRAM64:5528]
REG_SR
  • CK=[CK:3452] [CK_INV:774]
  • LATCH_OR_FF=[FF:3444] [LATCH:782]
  • SRINIT=[SRINIT0:4179] [SRINIT1:47]
  • SYNC_ATTR=[ASYNC:3051] [SYNC:1175]
SLICEL
  • CLK=[CLK:263] [CLK_INV:88]
SLICEM
  • CLK=[CLK:1392] [CLK_INV:0]
SLICEX
  • CLK=[CLK:1412] [CLK_INV:287]
 
Pin Data
BUFG
  • I0=4
  • O=4
BUFG_BUFG
  • I0=4
  • O=4
CARRY4
  • CIN=122
  • CO3=122
  • CYINIT=72
  • DI0=176
  • DI1=176
  • DI2=173
  • DI3=122
  • O0=194
  • O1=176
  • O2=176
  • O3=173
  • S0=194
  • S1=176
  • S2=176
  • S3=173
FF_SR
  • CE=242
  • CK=354
  • D=354
  • Q=354
  • SR=181
HARD0
  • 0=72
IOB
  • I=2
  • O=8
  • PAD=10
IOB_IMUX
  • I=2
  • OUT=2
IOB_INBUF
  • OUT=2
  • PAD=2
IOB_OUTBUF
  • IN=8
  • OUT=8
LUT5
  • A1=1265
  • A2=1419
  • A3=1647
  • A4=1534
  • A5=1530
  • O5=2506
LUT6
  • A1=6169
  • A2=8230
  • A3=9268
  • A4=10105
  • A5=10349
  • A6=10671
  • O6=10737
LUT_OR_MEM6
  • A1=5568
  • A2=5568
  • A3=5568
  • A4=5568
  • A5=5568
  • A6=5568
  • CLK=5568
  • DI1=5568
  • O6=4640
  • WA1=5568
  • WA2=5568
  • WA3=5568
  • WA4=5568
  • WA5=5568
  • WA6=5568
  • WE=5568
PAD
  • PAD=10
REG_SR
  • CE=2593
  • CK=4226
  • D=4226
  • Q=4226
  • SR=1288
SELMUX2_1
  • 0=290
  • 1=290
  • OUT=290
  • S0=290
SLICEL
  • A=194
  • A1=195
  • A2=242
  • A3=247
  • A4=329
  • A5=353
  • A6=443
  • AMUX=152
  • AQ=186
  • AX=51
  • B=259
  • B1=222
  • B2=256
  • B3=277
  • B4=356
  • B5=382
  • B6=457
  • BMUX=184
  • BQ=134
  • BX=23
  • C=7
  • C1=145
  • C2=246
  • C3=252
  • C4=359
  • C5=391
  • C6=470
  • CE=207
  • CIN=122
  • CLK=351
  • CMUX=271
  • COUT=122
  • CQ=223
  • CX=308
  • D=8
  • D1=184
  • D2=250
  • D3=284
  • D4=372
  • D5=376
  • D6=439
  • DMUX=102
  • DQ=146
  • DX=73
  • SR=108
SLICEM
  • A=1392
  • A1=1392
  • A2=1392
  • A3=1392
  • A4=1392
  • A5=1392
  • A6=1392
  • AQ=2
  • AX=1379
  • B=1392
  • B1=1392
  • B2=1392
  • B3=1392
  • B4=1392
  • B5=1392
  • B6=1392
  • BQ=1
  • BX=919
  • C=928
  • C1=1392
  • C2=1392
  • C3=1392
  • C4=1392
  • C5=1392
  • C6=1392
  • CE=1392
  • CLK=1392
  • CX=1377
  • D=928
  • D1=1392
  • D2=1392
  • D3=1392
  • D4=1392
  • D5=1392
  • D6=1392
  • DX=1392
  • SR=3
SLICEX
  • A=1606
  • A1=1779
  • A2=2211
  • A3=2353
  • A4=2446
  • A5=2440
  • A6=2442
  • AMUX=411
  • AQ=1181
  • AX=296
  • B=1675
  • B1=1629
  • B2=2000
  • B3=2181
  • B4=2263
  • B5=2310
  • B6=2317
  • BMUX=543
  • BQ=871
  • BX=190
  • C=1522
  • C1=1464
  • C2=1867
  • C3=2075
  • C4=2139
  • C5=2174
  • C6=2176
  • CE=920
  • CLK=1699
  • CMUX=453
  • CQ=872
  • CX=192
  • D=1511
  • D1=1375
  • D2=1702
  • D3=1845
  • D4=1894
  • D5=1923
  • D6=1927
  • DMUX=318
  • DQ=610
  • DX=177
  • SR=532
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx100-fgg484-3 <ise_file> <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx100-fgg484-3 <ise_file> <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx100-fgg484-3 <ise_file> <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx100-fgg484-3 <ise_file> <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx4-tqg144-3 <ise_file> <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx4-tqg144-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx4-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx45-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx45-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx45-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx45-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx45-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx45-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx45-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx45-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx45-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx45-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc6slx45-csg324-3 <ise_file> <fname>.ngd
  • map -intstyle ise -p xc6slx45-csg324-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • fuse
 
Software Quality
Run Statistics
_impact 3 3 0 0 0 0 0
bitgen 7 7 0 0 0 0 0
compxlib 4 4 0 0 0 0 0
cxlgui 1 1 0 0 0 0 0
map 75 58 0 0 0 0 0
netgen 10 10 0 0 0 0 0
ngc2edif 6 6 0 0 0 0 0
ngcbuild 5 5 0 0 0 0 0
ngdbuild 75 75 0 0 0 0 0
par 59 56 3 0 0 0 0
partgen 22 21 0 0 0 0 0
platgen 5 1 0 0 0 0 0
trce 61 61 0 0 0 0 0
xbash 1 1 0 0 0 0 0
xps 9 9 0 0 0 0 0
xst 453 425 0 0 0 0 0
 
Help Statistics
Search words with results
Xst ( 1 ) Xst error ( 1 )
attribute ( 1 ) clock ( 1 )
clock input ( 1 )
Unsuccessful Search words
unsupported clock ( 1 )
Help files
/doc/usenglish/isehelp/cgn_c_df_vhdl_create_test_bench.htm ( 1 ) /doc/usenglish/isehelp/cgn_c_df_vhdl_flow.htm ( 1 )
/doc/usenglish/isehelp/cgn_db_advanced_options.htm ( 1 ) /doc/usenglish/isehelp/devref.pdf ( 1 )
/doc/usenglish/isehelp/dsm_p_showing_new_messages.htm ( 1 ) /doc/usenglish/isehelp/dsm_p_using_message_filters_commandline.htm ( 1 )
/doc/usenglish/isehelp/ise_c_lt_adding_code_using_language_templates.htm ( 1 ) /doc/usenglish/isehelp/ise_c_report_clock_resource_utilization.htm ( 1 )
/doc/usenglish/isehelp/ise_c_understanding_design_parser.htm ( 1 ) /doc/usenglish/isehelp/ise_c_working_with_source_control.htm ( 1 )
/doc/usenglish/isehelp/ise_c_working_with_vhdl_libraries.htm ( 1 ) /doc/usenglish/isehelp/ise_n_devref_guide.htm ( 1 )
/doc/usenglish/isehelp/ise_p_generate_fpga_programming_file.htm ( 1 ) /doc/usenglish/isehelp/ise_r_properties_conversion_ise10_ise11.htm ( 1 )
/doc/usenglish/isehelp/ise_r_source_types.htm ( 1 ) /doc/usenglish/isehelp/ite_c_overview.htm ( 2 )
/doc/usenglish/isehelp/ite_r_vhdl_reserved_words.htm ( 1 ) /doc/usenglish/isehelp/pce_c_clock_to_pad_top.htm ( 1 )
/doc/usenglish/isehelp/pim_p_addingfiles_promdevices.htm ( 1 ) /doc/usenglish/isehelp/pim_p_addingfiles_systemacecf.htm ( 1 )
/doc/usenglish/isehelp/pim_r_supported_spi_bpi_proms.htm ( 1 ) /doc/usenglish/isehelp/pn_db_design_view_properties.htm ( 1 )
/doc/usenglish/isehelp/pn_db_nsw_select_source_type.htm ( 1 ) /doc/usenglish/isehelp/pp_db_configuration_options.htm ( 1 )
/doc/usenglish/isehelp/pp_p_process_configure_target_device.htm ( 1 ) /doc/usenglish/isehelp/pp_p_process_io_pin_planning_post_syn.htm ( 1 )
/doc/usenglish/isehelp/pta_db_analyze_against_user_specified_paths_button.htm ( 1 ) /doc/usenglish/isehelp/pta_db_ttc-clock-io-page.htm ( 1 )
/doc/usenglish/isehelp/sse_c_attr_traits.htm ( 1 ) /doc/usenglish/isehelp/sse_c_intro_to_attrs.htm ( 1 )
/doc/usenglish/isehelp/sse_p_adding_attr.htm ( 1 ) /doc/usenglish/isehelp/sse_p_adding_xilinx_constraints.htm ( 1 )
/doc/usenglish/isehelp/xpa_c_clock_domain_view.htm ( 1 )
 
Project Statistics
PROP_Enable_Message_Filtering=false PROP_FitterReportFormat=HTML
PROP_ISimsUseCustomWaveConfigFile_behav=true PROP_LastAppliedGoal=Balanced
PROP_LastAppliedStrategy=Xilinx Default (unlocked) PROP_ManualCompileOrderImp=false
PROP_PropSpecInProjFile=Store all values PROP_SelectedInstanceHierarchicalPath=/MultiMPITest
PROP_Simulator=ISim (VHDL/Verilog) PROP_SynthTopFile=changed
PROP_Top_Level_Module_Type=HDL PROP_UseSmartGuide=false
PROP_UserConstraintEditorPreference=Text Editor PROP_intProjectCreationTimestamp=2011-07-02T09:03:34
PROP_intWbtProjectID=E0B60106E9D849C5917F344B8FD41FA5 PROP_intWbtProjectIteration=2
PROP_intWorkingDirLocWRTProjDir=Same PROP_intWorkingDirUsed=No
PROP_lockPinsUcfFile=changed PROP_selectedSimRootSourceNode_behav=work.MultiMPITest
PROP_selectedSimRootSourceNode_translate=NocLib.stimuli45 PROP_xilxSynthKeepHierarchy=Soft
PROPEXT_mapTimingMode_spartan6=Non Timing Driven PROP_AutoTop=false
PROP_DevFamily=Spartan6 PROP_ISimsUseCustomWaveConfigFilename_behav=changed
PROP_CompxlibSimPath=changed PROP_DevDevice=xc6slx45
PROP_DevFamilyPMName=spartan6 PROP_ISimSimulationRunTime_behav_tb=200 ns
PROP_DevPackage=csg324 PROP_Synthesis_Tool=XST (VHDL/Verilog)
PROP_DevSpeed=-3 PROP_PreferredLanguage=VHDL
FILE_UCF=2 FILE_VHDL=58
 
Unisim Statistics
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=3 NGDBUILD_NUM_BUFGP=1 NGDBUILD_NUM_FD=293 NGDBUILD_NUM_FDC=120
NGDBUILD_NUM_FDE=2044 NGDBUILD_NUM_FDP=16 NGDBUILD_NUM_FDR=520 NGDBUILD_NUM_FDRE=791
NGDBUILD_NUM_FDS=24 NGDBUILD_NUM_GND=71 NGDBUILD_NUM_IBUF=1 NGDBUILD_NUM_INV=142
NGDBUILD_NUM_LD=774 NGDBUILD_NUM_LDC=8 NGDBUILD_NUM_LUT1=641 NGDBUILD_NUM_LUT2=787
NGDBUILD_NUM_LUT3=1206 NGDBUILD_NUM_LUT4=1461 NGDBUILD_NUM_LUT5=2884 NGDBUILD_NUM_LUT6=5609
NGDBUILD_NUM_MUXCY=647 NGDBUILD_NUM_MUXF7=290 NGDBUILD_NUM_OBUF=8 NGDBUILD_NUM_RAM64M=928
NGDBUILD_NUM_RAM64X1D=928 NGDBUILD_NUM_VCC=49 NGDBUILD_NUM_XORCY=719
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=4 NGDBUILD_NUM_FD=293 NGDBUILD_NUM_FDC=120 NGDBUILD_NUM_FDE=2044
NGDBUILD_NUM_FDP=16 NGDBUILD_NUM_FDR=520 NGDBUILD_NUM_FDRE=791 NGDBUILD_NUM_FDS=24
NGDBUILD_NUM_GND=71 NGDBUILD_NUM_IBUF=1 NGDBUILD_NUM_IBUFG=1 NGDBUILD_NUM_INV=142
NGDBUILD_NUM_LD=774 NGDBUILD_NUM_LDC=8 NGDBUILD_NUM_LUT1=641 NGDBUILD_NUM_LUT2=787
NGDBUILD_NUM_LUT3=1206 NGDBUILD_NUM_LUT4=1461 NGDBUILD_NUM_LUT5=2884 NGDBUILD_NUM_LUT6=5609
NGDBUILD_NUM_MUXCY=647 NGDBUILD_NUM_MUXF7=290 NGDBUILD_NUM_OBUF=8 NGDBUILD_NUM_RAM64M=928
NGDBUILD_NUM_TS_TIMESPEC=1 NGDBUILD_NUM_VCC=49 NGDBUILD_NUM_XORCY=719
 
ISim Statistics
Xilinx HDL Libraries Used=
Fuse Resource Usage=1621 ms, 43752 KB
Total Signals=2855
Total Nets=1092412
Total Blocks=167
Total Processes=810
Total Simulation Time=22200 ns
Simulation Resource Usage=3.29162 sec, 1899794 KB
Simulation Mode=gui
Hardware CoSim=0