source: PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.03/Test_Du_NOc.wcfg @ 44

Last change on this file since 44 was 15, checked in by rolagamo, 12 years ago
File size: 29.0 KB
Line 
1<?xml version="1.0" encoding="UTF-8"?>
2<wave_config>
3   <wave_state>
4   </wave_state>
5   <db_ref_list>
6      <db_ref path="C:/Core MPI/CORE_MPI/test_xbar_8x8_isim_beh.wdb" id="1" type="auto">
7         <top_modules>
8            <top_module name="coretypes" />
9            <top_module name="numeric_std" />
10            <top_module name="std_logic_1164" />
11            <top_module name="std_logic_arith" />
12            <top_module name="std_logic_unsigned" />
13            <top_module name="test_xbar_8x8" />
14         </top_modules>
15      </db_ref>
16   </db_ref_list>
17   <WVObjectSize size="101" />
18   <wvobject fp_name="/test_xbar_8x8/etsnd1" type="other" db_ref_id="1">
19      <obj_property name="ElementShortName">etsnd1</obj_property>
20      <obj_property name="ObjectShortName">etsnd1</obj_property>
21   </wvobject>
22   <wvobject fp_name="/test_xbar_8x8/etsnd2" type="other" db_ref_id="1">
23      <obj_property name="ElementShortName">etsnd2</obj_property>
24      <obj_property name="ObjectShortName">etsnd2</obj_property>
25   </wvobject>
26   <wvobject fp_name="/test_xbar_8x8/etsnd3" type="other" db_ref_id="1">
27      <obj_property name="ElementShortName">etsnd3</obj_property>
28      <obj_property name="ObjectShortName">etsnd3</obj_property>
29   </wvobject>
30   <wvobject fp_name="/test_xbar_8x8/etrec" type="other" db_ref_id="1">
31      <obj_property name="ElementShortName">etrec</obj_property>
32      <obj_property name="ObjectShortName">etrec</obj_property>
33   </wvobject>
34   <wvobject fp_name="/test_xbar_8x8/etcmd" type="other" db_ref_id="1">
35      <obj_property name="ElementShortName">etcmd</obj_property>
36      <obj_property name="ObjectShortName">etcmd</obj_property>
37   </wvobject>
38   <wvobject fp_name="/test_xbar_8x8/portin" type="array" db_ref_id="1">
39      <obj_property name="ElementShortName">portin[1:8]</obj_property>
40      <obj_property name="ObjectShortName">portin[1:8]</obj_property>
41   </wvobject>
42   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/pipeline_latch" type="array" db_ref_id="1">
43      <obj_property name="ElementShortName">pipeline_latch[7:0]</obj_property>
44      <obj_property name="ObjectShortName">pipeline_latch[7:0]</obj_property>
45   </wvobject>
46   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/cmd_data_signal" type="array" db_ref_id="1">
47      <obj_property name="ElementShortName">cmd_data_signal[7:0]</obj_property>
48      <obj_property name="ObjectShortName">cmd_data_signal[7:0]</obj_property>
49   </wvobject>
50   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/cmdstate" type="other" db_ref_id="1">
51      <obj_property name="ElementShortName">cmdstate</obj_property>
52      <obj_property name="ObjectShortName">cmdstate</obj_property>
53   </wvobject>
54   <wvobject fp_name="/test_xbar_8x8/rdata_out_en" type="array" db_ref_id="1">
55      <obj_property name="ElementShortName">rdata_out_en[8:1]</obj_property>
56      <obj_property name="ObjectShortName">rdata_out_en[8:1]</obj_property>
57   </wvobject>
58   <wvobject fp_name="/test_xbar_8x8/data_out_en" type="array" db_ref_id="1">
59      <obj_property name="ElementShortName">data_out_en[8:1]</obj_property>
60      <obj_property name="ObjectShortName">data_out_en[8:1]</obj_property>
61   </wvobject>
62   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(4)\/PORTx8_INPUT_PORT_MODULE/dat_data_out_pulse" type="logic" db_ref_id="1">
63      <obj_property name="ElementShortName">dat_data_out_pulse</obj_property>
64      <obj_property name="ObjectShortName">dat_data_out_pulse</obj_property>
65   </wvobject>
66   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(4)\/PORTx8_INPUT_PORT_MODULE/data_out_pulse" type="logic" db_ref_id="1">
67      <obj_property name="ElementShortName">data_out_pulse</obj_property>
68      <obj_property name="ObjectShortName">data_out_pulse</obj_property>
69   </wvobject>
70   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/fifo_read_signal" type="logic" db_ref_id="1">
71      <obj_property name="ElementShortName">fifo_read_signal</obj_property>
72      <obj_property name="ObjectShortName">fifo_read_signal</obj_property>
73   </wvobject>
74   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(4)\/PORTx8_INPUT_PORT_MODULE/fifo_read_signal" type="logic" db_ref_id="1">
75      <obj_property name="ElementShortName">fifo_read_signal</obj_property>
76      <obj_property name="ObjectShortName">fifo_read_signal</obj_property>
77   </wvobject>
78   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(4)\/PORTx8_INPUT_PORT_MODULE/pop_state" type="other" db_ref_id="1">
79      <obj_property name="ElementShortName">pop_state</obj_property>
80      <obj_property name="ObjectShortName">pop_state</obj_property>
81   </wvobject>
82   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(6)\/PORTx8_INPUT_PORT_MODULE/fifo_read_signal" type="logic" db_ref_id="1">
83      <obj_property name="ElementShortName">fifo_read_signal</obj_property>
84      <obj_property name="ObjectShortName">fifo_read_signal</obj_property>
85   </wvobject>
86   <wvobject fp_name="/test_xbar_8x8/etrec" type="other" db_ref_id="1">
87      <obj_property name="ElementShortName">etrec</obj_property>
88      <obj_property name="ObjectShortName">etrec</obj_property>
89   </wvobject>
90   <wvobject fp_name="/test_xbar_8x8/etcmd" type="other" db_ref_id="1">
91      <obj_property name="ElementShortName">etcmd</obj_property>
92      <obj_property name="ObjectShortName">etcmd</obj_property>
93   </wvobject>
94   <wvobject fp_name="/test_xbar_8x8/data_available" type="array" db_ref_id="1">
95      <obj_property name="ElementShortName">data_available[8:1]</obj_property>
96      <obj_property name="ObjectShortName">data_available[8:1]</obj_property>
97   </wvobject>
98   <wvobject fp_name="/test_xbar_8x8/cdata_out_en" type="array" db_ref_id="1">
99      <obj_property name="ElementShortName">cdata_out_en[8:1]</obj_property>
100      <obj_property name="ObjectShortName">cdata_out_en[8:1]</obj_property>
101   </wvobject>
102   <wvobject fp_name="/test_xbar_8x8/din4" type="array" db_ref_id="1">
103      <obj_property name="ElementShortName">din4[8:1]</obj_property>
104      <obj_property name="ObjectShortName">din4[8:1]</obj_property>
105   </wvobject>
106   <wvobject fp_name="/test_xbar_8x8/portout" type="array" db_ref_id="1">
107      <obj_property name="ElementShortName">portout[1:8]</obj_property>
108      <obj_property name="ObjectShortName">portout[1:8]</obj_property>
109   </wvobject>
110   <wvobject fp_name="/test_xbar_8x8/clk" type="logic" db_ref_id="1">
111      <obj_property name="ElementShortName">clk</obj_property>
112      <obj_property name="ObjectShortName">clk</obj_property>
113   </wvobject>
114   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/fifo_out_signal" type="array" db_ref_id="1">
115      <obj_property name="ElementShortName">fifo_out_signal[7:0]</obj_property>
116      <obj_property name="ObjectShortName">fifo_out_signal[7:0]</obj_property>
117   </wvobject>
118   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(4)\/PORTx8_INPUT_PORT_MODULE/fifo_out_signal" type="array" db_ref_id="1">
119      <obj_property name="ElementShortName">fifo_out_signal[7:0]</obj_property>
120      <obj_property name="ObjectShortName">fifo_out_signal[7:0]</obj_property>
121   </wvobject>
122   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(6)\/PORTx8_INPUT_PORT_MODULE/fifo_out_signal" type="array" db_ref_id="1">
123      <obj_property name="ElementShortName">fifo_out_signal[7:0]</obj_property>
124      <obj_property name="ObjectShortName">fifo_out_signal[7:0]</obj_property>
125   </wvobject>
126   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/pop_state" type="other" db_ref_id="1">
127      <obj_property name="ElementShortName">pop_state</obj_property>
128      <obj_property name="ObjectShortName">pop_state</obj_property>
129   </wvobject>
130   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/cmd_in_en" type="logic" db_ref_id="1">
131      <obj_property name="ElementShortName">cmd_in_en</obj_property>
132      <obj_property name="ObjectShortName">cmd_in_en</obj_property>
133   </wvobject>
134   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/wr_en_signal" type="logic" db_ref_id="1">
135      <obj_property name="ElementShortName">wr_en_signal</obj_property>
136      <obj_property name="ObjectShortName">wr_en_signal</obj_property>
137   </wvobject>
138   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/rd_en_signal" type="logic" db_ref_id="1">
139      <obj_property name="ElementShortName">rd_en_signal</obj_property>
140      <obj_property name="ObjectShortName">rd_en_signal</obj_property>
141   </wvobject>
142   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/clk_signal" type="logic" db_ref_id="1">
143      <obj_property name="ElementShortName">clk_signal</obj_property>
144      <obj_property name="ObjectShortName">clk_signal</obj_property>
145   </wvobject>
146   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/doa_signal" type="array" db_ref_id="1">
147      <obj_property name="ElementShortName">doa_signal[7:0]</obj_property>
148      <obj_property name="ObjectShortName">doa_signal[7:0]</obj_property>
149   </wvobject>
150   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/din" type="array" db_ref_id="1">
151      <obj_property name="ElementShortName">din[7:0]</obj_property>
152      <obj_property name="ObjectShortName">din[7:0]</obj_property>
153   </wvobject>
154   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/fifo_counter" type="array" db_ref_id="1">
155      <obj_property name="ElementShortName">fifo_counter[7:0]</obj_property>
156      <obj_property name="ObjectShortName">fifo_counter[7:0]</obj_property>
157   </wvobject>
158   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/fifo_counter" type="array" db_ref_id="1">
159      <obj_property name="ElementShortName">fifo_counter[7:0]</obj_property>
160      <obj_property name="ObjectShortName">fifo_counter[7:0]</obj_property>
161   </wvobject>
162   <wvobject fp_name="/test_xbar_8x8/data_in_en" type="array" db_ref_id="1">
163      <obj_property name="ElementShortName">data_in_en[8:1]</obj_property>
164      <obj_property name="ObjectShortName">data_in_en[8:1]</obj_property>
165   </wvobject>
166   <wvobject fp_name="/test_xbar_8x8/cmd_in_en" type="array" db_ref_id="1">
167      <obj_property name="ElementShortName">cmd_in_en[8:1]</obj_property>
168      <obj_property name="ObjectShortName">cmd_in_en[8:1]</obj_property>
169   </wvobject>
170   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/grant" type="array" db_ref_id="1">
171      <obj_property name="ElementShortName">grant[8:1]</obj_property>
172      <obj_property name="ObjectShortName">grant[8:1]</obj_property>
173   </wvobject>
174   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/grant" type="array" db_ref_id="1">
175      <obj_property name="ElementShortName">grant[8:1]</obj_property>
176      <obj_property name="ObjectShortName">grant[8:1]</obj_property>
177   </wvobject>
178   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(4)\/PORTx8_INPUT_PORT_MODULE/fifo_read_signal" type="logic" db_ref_id="1">
179      <obj_property name="ElementShortName">fifo_read_signal</obj_property>
180      <obj_property name="ObjectShortName">fifo_read_signal</obj_property>
181   </wvobject>
182   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/data_counter" type="array" db_ref_id="1">
183      <obj_property name="ElementShortName">data_counter[7:0]</obj_property>
184      <obj_property name="ObjectShortName">data_counter[7:0]</obj_property>
185   </wvobject>
186   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(4)\/PORTx8_INPUT_PORT_MODULE/data_counter" type="array" db_ref_id="1">
187      <obj_property name="ElementShortName">data_counter[7:0]</obj_property>
188      <obj_property name="ObjectShortName">data_counter[7:0]</obj_property>
189   </wvobject>
190   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(6)\/PORTx8_INPUT_PORT_MODULE/data_counter" type="array" db_ref_id="1">
191      <obj_property name="ElementShortName">data_counter[7:0]</obj_property>
192      <obj_property name="ObjectShortName">data_counter[7:0]</obj_property>
193   </wvobject>
194   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/data_counter" type="array" db_ref_id="1">
195      <obj_property name="ElementShortName">data_counter[7:0]</obj_property>
196      <obj_property name="ObjectShortName">data_counter[7:0]</obj_property>
197   </wvobject>
198   <wvobject fp_name="/test_xbar_8x8/rdata_out_en" type="array" db_ref_id="1">
199      <obj_property name="ElementShortName">rdata_out_en[8:1]</obj_property>
200      <obj_property name="ObjectShortName">rdata_out_en[8:1]</obj_property>
201   </wvobject>
202   <wvobject fp_name="/test_xbar_8x8/rcount" type="array" db_ref_id="1">
203      <obj_property name="ElementShortName">rcount[1:8]</obj_property>
204      <obj_property name="ObjectShortName">rcount[1:8]</obj_property>
205   </wvobject>
206   <wvobject fp_name="/test_xbar_8x8/cdata_out_en" type="array" db_ref_id="1">
207      <obj_property name="ElementShortName">cdata_out_en[8:1]</obj_property>
208      <obj_property name="ObjectShortName">cdata_out_en[8:1]</obj_property>
209   </wvobject>
210   <wvobject fp_name="/test_xbar_8x8/reset" type="logic" db_ref_id="1">
211      <obj_property name="ElementShortName">reset</obj_property>
212      <obj_property name="ObjectShortName">reset</obj_property>
213   </wvobject>
214   <wvobject fp_name="/test_xbar_8x8/din1" type="array" db_ref_id="1">
215      <obj_property name="ElementShortName">din1[8:1]</obj_property>
216      <obj_property name="ObjectShortName">din1[8:1]</obj_property>
217   </wvobject>
218   <wvobject fp_name="/test_xbar_8x8/din2" type="array" db_ref_id="1">
219      <obj_property name="ElementShortName">din2[8:1]</obj_property>
220      <obj_property name="ObjectShortName">din2[8:1]</obj_property>
221   </wvobject>
222   <wvobject fp_name="/test_xbar_8x8/din3" type="array" db_ref_id="1">
223      <obj_property name="ElementShortName">din3[8:1]</obj_property>
224      <obj_property name="ObjectShortName">din3[8:1]</obj_property>
225   </wvobject>
226   <wvobject fp_name="/test_xbar_8x8/din4" type="array" db_ref_id="1">
227      <obj_property name="ElementShortName">din4[8:1]</obj_property>
228      <obj_property name="ObjectShortName">din4[8:1]</obj_property>
229   </wvobject>
230   <wvobject fp_name="/test_xbar_8x8/fifo_in_full" type="array" db_ref_id="1">
231      <obj_property name="ElementShortName">fifo_in_full[8:1]</obj_property>
232      <obj_property name="ObjectShortName">fifo_in_full[8:1]</obj_property>
233   </wvobject>
234   <wvobject fp_name="/test_xbar_8x8/fifo_in_empty" type="array" db_ref_id="1">
235      <obj_property name="ElementShortName">fifo_in_empty[8:1]</obj_property>
236      <obj_property name="ObjectShortName">fifo_in_empty[8:1]</obj_property>
237   </wvobject>
238   <wvobject fp_name="/test_xbar_8x8/data_available" type="array" db_ref_id="1">
239      <obj_property name="ElementShortName">data_available[8:1]</obj_property>
240      <obj_property name="ObjectShortName">data_available[8:1]</obj_property>
241   </wvobject>
242   <wvobject fp_name="/test_xbar_8x8/time_out" type="array" db_ref_id="1">
243      <obj_property name="ElementShortName">time_out[8:1]</obj_property>
244      <obj_property name="ObjectShortName">time_out[8:1]</obj_property>
245   </wvobject>
246   <wvobject fp_name="/test_xbar_8x8/header" type="array" db_ref_id="1">
247      <obj_property name="ElementShortName">header[7:0]</obj_property>
248      <obj_property name="ObjectShortName">header[7:0]</obj_property>
249   </wvobject>
250   <wvobject fp_name="/test_xbar_8x8/uut/port_out_switch8x8/PORT2_OUTPUT_PORT_MODULE/OUTPUT_PORT_FIFO/fwft_fsm_state" type="other" db_ref_id="1">
251      <obj_property name="ElementShortName">fwft_fsm_state</obj_property>
252      <obj_property name="ObjectShortName">fwft_fsm_state</obj_property>
253   </wvobject>
254   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/empty" type="logic" db_ref_id="1">
255      <obj_property name="ElementShortName">empty</obj_property>
256      <obj_property name="ObjectShortName">empty</obj_property>
257   </wvobject>
258   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/fwft_fsm_state" type="other" db_ref_id="1">
259      <obj_property name="ElementShortName">fwft_fsm_state</obj_property>
260      <obj_property name="ObjectShortName">fwft_fsm_state</obj_property>
261   </wvobject>
262   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/push_address_counter" type="array" db_ref_id="1">
263      <obj_property name="ElementShortName">push_address_counter[7:0]</obj_property>
264      <obj_property name="ObjectShortName">push_address_counter[7:0]</obj_property>
265   </wvobject>
266   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/pop_address_counter" type="array" db_ref_id="1">
267      <obj_property name="ElementShortName">pop_address_counter[7:0]</obj_property>
268      <obj_property name="ObjectShortName">pop_address_counter[7:0]</obj_property>
269   </wvobject>
270   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/doa_signal" type="array" db_ref_id="1">
271      <obj_property name="ElementShortName">doa_signal[7:0]</obj_property>
272      <obj_property name="ObjectShortName">doa_signal[7:0]</obj_property>
273   </wvobject>
274   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/wr_en_signal" type="logic" db_ref_id="1">
275      <obj_property name="ElementShortName">wr_en_signal</obj_property>
276      <obj_property name="ObjectShortName">wr_en_signal</obj_property>
277   </wvobject>
278   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/din" type="array" db_ref_id="1">
279      <obj_property name="ElementShortName">din[7:0]</obj_property>
280      <obj_property name="ObjectShortName">din[7:0]</obj_property>
281   </wvobject>
282   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/empty_signal" type="logic" db_ref_id="1">
283      <obj_property name="ElementShortName">empty_signal</obj_property>
284      <obj_property name="ObjectShortName">empty_signal</obj_property>
285   </wvobject>
286   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/rd_ready" type="array" db_ref_id="1">
287      <obj_property name="ElementShortName">rd_ready[1:0]</obj_property>
288      <obj_property name="ObjectShortName">rd_ready[1:0]</obj_property>
289   </wvobject>
290   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/dob_signal" type="array" db_ref_id="1">
291      <obj_property name="ElementShortName">dob_signal[7:0]</obj_property>
292      <obj_property name="ObjectShortName">dob_signal[7:0]</obj_property>
293   </wvobject>
294   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/dout_signal" type="array" db_ref_id="1">
295      <obj_property name="ElementShortName">dout_signal[7:0]</obj_property>
296      <obj_property name="ObjectShortName">dout_signal[7:0]</obj_property>
297   </wvobject>
298   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/full_signal" type="logic" db_ref_id="1">
299      <obj_property name="ElementShortName">full_signal</obj_property>
300      <obj_property name="ObjectShortName">full_signal</obj_property>
301   </wvobject>
302   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/wr_en_signal" type="logic" db_ref_id="1">
303      <obj_property name="ElementShortName">wr_en_signal</obj_property>
304      <obj_property name="ObjectShortName">wr_en_signal</obj_property>
305   </wvobject>
306   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/rd_en_signal" type="logic" db_ref_id="1">
307      <obj_property name="ElementShortName">rd_en_signal</obj_property>
308      <obj_property name="ObjectShortName">rd_en_signal</obj_property>
309   </wvobject>
310   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/clk_signal" type="logic" db_ref_id="1">
311      <obj_property name="ElementShortName">clk_signal</obj_property>
312      <obj_property name="ObjectShortName">clk_signal</obj_property>
313   </wvobject>
314   <wvobject fp_name="/test_xbar_8x8/uut/port_out_switch8x8/PORT2_OUTPUT_PORT_MODULE/OUTPUT_PORT_FIFO/din" type="array" db_ref_id="1">
315      <obj_property name="ElementShortName">din[7:0]</obj_property>
316      <obj_property name="ObjectShortName">din[7:0]</obj_property>
317   </wvobject>
318   <wvobject fp_name="/test_xbar_8x8/uut/port_out_switch8x8/PORT2_OUTPUT_PORT_MODULE/OUTPUT_PORT_FIFO/doa_signal" type="array" db_ref_id="1">
319      <obj_property name="ElementShortName">doa_signal[7:0]</obj_property>
320      <obj_property name="ObjectShortName">doa_signal[7:0]</obj_property>
321   </wvobject>
322   <wvobject fp_name="/test_xbar_8x8/uut/port_out_switch8x8/PORT2_OUTPUT_PORT_MODULE/OUTPUT_PORT_FIFO/counter_en" type="logic" db_ref_id="1">
323      <obj_property name="ElementShortName">counter_en</obj_property>
324      <obj_property name="ObjectShortName">counter_en</obj_property>
325   </wvobject>
326   <wvobject fp_name="/test_xbar_8x8/uut/port_out_switch8x8/PORT2_OUTPUT_PORT_MODULE/OUTPUT_PORT_FIFO/push_address_counter" type="array" db_ref_id="1">
327      <obj_property name="ElementShortName">push_address_counter[7:0]</obj_property>
328      <obj_property name="ObjectShortName">push_address_counter[7:0]</obj_property>
329   </wvobject>
330   <wvobject fp_name="/test_xbar_8x8/uut/port_out_switch8x8/PORT2_OUTPUT_PORT_MODULE/OUTPUT_PORT_FIFO/pop_address_counter" type="array" db_ref_id="1">
331      <obj_property name="ElementShortName">pop_address_counter[7:0]</obj_property>
332      <obj_property name="ObjectShortName">pop_address_counter[7:0]</obj_property>
333   </wvobject>
334   <wvobject fp_name="/test_xbar_8x8/uut/port_out_switch8x8/PORT2_OUTPUT_PORT_MODULE/OUTPUT_PORT_FIFO/dout" type="array" db_ref_id="1">
335      <obj_property name="ElementShortName">dout[7:0]</obj_property>
336      <obj_property name="ObjectShortName">dout[7:0]</obj_property>
337   </wvobject>
338   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/fwft_fsm_state" type="other" db_ref_id="1">
339      <obj_property name="ElementShortName">fwft_fsm_state</obj_property>
340      <obj_property name="ObjectShortName">fwft_fsm_state</obj_property>
341   </wvobject>
342   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(4)\/PORTx8_INPUT_PORT_MODULE/fifo_out_signal" type="array" db_ref_id="1">
343      <obj_property name="ElementShortName">fifo_out_signal[7:0]</obj_property>
344      <obj_property name="ObjectShortName">fifo_out_signal[7:0]</obj_property>
345   </wvobject>
346   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/wr_en_signal" type="logic" db_ref_id="1">
347      <obj_property name="ElementShortName">wr_en_signal</obj_property>
348      <obj_property name="ObjectShortName">wr_en_signal</obj_property>
349   </wvobject>
350   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/rd_en_signal" type="logic" db_ref_id="1">
351      <obj_property name="ElementShortName">rd_en_signal</obj_property>
352      <obj_property name="ObjectShortName">rd_en_signal</obj_property>
353   </wvobject>
354   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/din" type="array" db_ref_id="1">
355      <obj_property name="ElementShortName">din[7:0]</obj_property>
356      <obj_property name="ObjectShortName">din[7:0]</obj_property>
357   </wvobject>
358   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/push_address_counter" type="array" db_ref_id="1">
359      <obj_property name="ElementShortName">push_address_counter[7:0]</obj_property>
360      <obj_property name="ObjectShortName">push_address_counter[7:0]</obj_property>
361   </wvobject>
362   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/pop_address_counter" type="array" db_ref_id="1">
363      <obj_property name="ElementShortName">pop_address_counter[7:0]</obj_property>
364      <obj_property name="ObjectShortName">pop_address_counter[7:0]</obj_property>
365   </wvobject>
366   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/fifo_counter" type="array" db_ref_id="1">
367      <obj_property name="ElementShortName">fifo_counter[7:0]</obj_property>
368      <obj_property name="ObjectShortName">fifo_counter[7:0]</obj_property>
369   </wvobject>
370   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/dout" type="array" db_ref_id="1">
371      <obj_property name="ElementShortName">dout[7:0]</obj_property>
372      <obj_property name="ObjectShortName">dout[7:0]</obj_property>
373   </wvobject>
374   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/empty_signal" type="logic" db_ref_id="1">
375      <obj_property name="ElementShortName">empty_signal</obj_property>
376      <obj_property name="ObjectShortName">empty_signal</obj_property>
377   </wvobject>
378   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/doa_signal" type="array" db_ref_id="1">
379      <obj_property name="ElementShortName">doa_signal[7:0]</obj_property>
380      <obj_property name="ObjectShortName">doa_signal[7:0]</obj_property>
381   </wvobject>
382   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(2)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/dob_signal" type="array" db_ref_id="1">
383      <obj_property name="ElementShortName">dob_signal[7:0]</obj_property>
384      <obj_property name="ObjectShortName">dob_signal[7:0]</obj_property>
385   </wvobject>
386   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/empty" type="logic" db_ref_id="1">
387      <obj_property name="ElementShortName">empty</obj_property>
388      <obj_property name="ObjectShortName">empty</obj_property>
389   </wvobject>
390   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/fwft_fsm_state" type="other" db_ref_id="1">
391      <obj_property name="ElementShortName">fwft_fsm_state</obj_property>
392      <obj_property name="ObjectShortName">fwft_fsm_state</obj_property>
393   </wvobject>
394   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/din" type="array" db_ref_id="1">
395      <obj_property name="ElementShortName">din[7:0]</obj_property>
396      <obj_property name="ObjectShortName">din[7:0]</obj_property>
397   </wvobject>
398   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/rd_en_signal" type="logic" db_ref_id="1">
399      <obj_property name="ElementShortName">rd_en_signal</obj_property>
400      <obj_property name="ObjectShortName">rd_en_signal</obj_property>
401   </wvobject>
402   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/counter_en" type="logic" db_ref_id="1">
403      <obj_property name="ElementShortName">counter_en</obj_property>
404      <obj_property name="ObjectShortName">counter_en</obj_property>
405   </wvobject>
406   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/dob_signal" type="array" db_ref_id="1">
407      <obj_property name="ElementShortName">dob_signal[7:0]</obj_property>
408      <obj_property name="ObjectShortName">dob_signal[7:0]</obj_property>
409   </wvobject>
410   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/doa_signal" type="array" db_ref_id="1">
411      <obj_property name="ElementShortName">doa_signal[7:0]</obj_property>
412      <obj_property name="ObjectShortName">doa_signal[7:0]</obj_property>
413   </wvobject>
414   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/dout" type="array" db_ref_id="1">
415      <obj_property name="ElementShortName">dout[7:0]</obj_property>
416      <obj_property name="ObjectShortName">dout[7:0]</obj_property>
417   </wvobject>
418   <wvobject fp_name="/test_xbar_8x8/uut/switch8x8/\switch_8x8(1)\/PORTx8_INPUT_PORT_MODULE/INPUT_PORT_FIFO/wr_en_signal" type="logic" db_ref_id="1">
419      <obj_property name="ElementShortName">wr_en_signal</obj_property>
420      <obj_property name="ObjectShortName">wr_en_signal</obj_property>
421   </wvobject>
422</wave_config>
Note: See TracBrowser for help on using the repository browser.