/**********************************************************************/ /* ____ ____ */ /* / /\/ / */ /* /___/ \ / */ /* \ \ \/ */ /* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ /* / / All Right Reserved. */ /* /---/ /\ */ /* \ \ / \ */ /* \___\/\___\ */ /***********************************************************************/ /* This file is designed for use with ISim build 0x16fbe694 */ #define XSI_HIDE_SYMBOL_SPEC true #include "xsi.h" #include #ifdef __GNUC__ #include #else #include #define alloca _alloca #endif extern char *IEEE_P_2592010699; unsigned char ieee_p_2592010699_sub_1605435078_2592010699(char *, unsigned char , unsigned char ); unsigned char ieee_p_2592010699_sub_1690584930_2592010699(char *, unsigned char ); unsigned char ieee_p_2592010699_sub_2545490612_2592010699(char *, unsigned char , unsigned char ); char *noclib_p_2086312171_sub_2050139519_2086312171(char *t1, char *t2, char *t3, char *t4, unsigned char t5) { char t6[248]; char t7[24]; char t24[16]; char t64[16]; char *t0; int t8; char *t9; int t10; char *t11; int t12; char *t13; int t14; int t15; char *t16; int t17; char *t18; int t19; char *t20; int t21; int t22; unsigned int t23; int t25; char *t26; int t27; char *t28; int t29; char *t30; int t31; int t32; char *t33; int t34; char *t35; int t36; char *t37; int t38; char *t39; char *t40; int t41; unsigned int t42; char *t43; char *t44; char *t45; char *t46; char *t47; char *t48; int t49; char *t50; int t51; char *t52; int t53; char *t54; int t55; int t56; char *t57; int t58; char *t59; int t60; char *t61; int t62; int t63; int t65; char *t66; int t67; char *t68; int t69; char *t70; int t71; int t72; char *t73; int t74; char *t75; int t76; char *t77; int t78; char *t79; char *t80; int t81; unsigned int t82; char *t83; char *t84; char *t85; char *t86; char *t87; char *t88; char *t89; unsigned char t90; char *t91; char *t92; char *t93; char *t94; int t95; int t96; char *t97; int t98; char *t99; int t100; char *t101; int t102; char *t103; int t104; int t105; char *t106; int t107; unsigned int t108; unsigned int t109; char *t110; unsigned int t111; unsigned char t112; unsigned char t113; unsigned int t114; unsigned int t115; unsigned int t116; unsigned char t117; LAB0: t9 = (t4 + 0U); t10 = *((int *)t9); t11 = (t4 + 4U); t12 = *((int *)t11); t13 = (t4 + 8U); t14 = *((int *)t13); if (t10 > t12) goto LAB2; LAB3: if (t14 == -1) goto LAB7; LAB8: t8 = t12; LAB4: t16 = (t4 + 0U); t17 = *((int *)t16); t18 = (t4 + 4U); t19 = *((int *)t18); t20 = (t4 + 8U); t21 = *((int *)t20); if (t17 > t19) goto LAB9; LAB10: if (t21 == -1) goto LAB14; LAB15: t15 = t17; LAB11: t22 = (t15 - t8); t23 = (t22 * -1); t23 = (t23 + 1); t23 = (t23 * 1U); t26 = (t4 + 0U); t27 = *((int *)t26); t28 = (t4 + 4U); t29 = *((int *)t28); t30 = (t4 + 8U); t31 = *((int *)t30); if (t27 > t29) goto LAB16; LAB17: if (t31 == -1) goto LAB21; LAB22: t25 = t29; LAB18: t33 = (t4 + 0U); t34 = *((int *)t33); t35 = (t4 + 4U); t36 = *((int *)t35); t37 = (t4 + 8U); t38 = *((int *)t37); if (t34 > t36) goto LAB23; LAB24: if (t38 == -1) goto LAB28; LAB29: t32 = t34; LAB25: t39 = (t24 + 0U); t40 = (t39 + 0U); *((int *)t40) = t25; t40 = (t39 + 4U); *((int *)t40) = t32; t40 = (t39 + 8U); *((int *)t40) = -1; t41 = (t32 - t25); t42 = (t41 * -1); t42 = (t42 + 1); t40 = (t39 + 12U); *((unsigned int *)t40) = t42; t40 = (t6 + 4U); t43 = ((IEEE_P_2592010699) + 4024); t44 = (t40 + 88U); *((char **)t44) = t43; t45 = (char *)alloca(t23); t46 = (t40 + 56U); *((char **)t46) = t45; xsi_type_set_default_value(t43, t45, t24); t47 = (t40 + 64U); *((char **)t47) = t24; t48 = (t40 + 80U); *((unsigned int *)t48) = t23; t50 = (t4 + 0U); t51 = *((int *)t50); t52 = (t4 + 4U); t53 = *((int *)t52); t54 = (t4 + 8U); t55 = *((int *)t54); if (t51 > t53) goto LAB30; LAB31: if (t55 == -1) goto LAB35; LAB36: t49 = t53; LAB32: t57 = (t4 + 0U); t58 = *((int *)t57); t59 = (t4 + 4U); t60 = *((int *)t59); t61 = (t4 + 8U); t62 = *((int *)t61); if (t58 > t60) goto LAB37; LAB38: if (t62 == -1) goto LAB42; LAB43: t56 = t58; LAB39: t63 = (t56 - t49); t42 = (t63 * -1); t42 = (t42 + 1); t42 = (t42 * 1U); t66 = (t4 + 0U); t67 = *((int *)t66); t68 = (t4 + 4U); t69 = *((int *)t68); t70 = (t4 + 8U); t71 = *((int *)t70); if (t67 > t69) goto LAB44; LAB45: if (t71 == -1) goto LAB49; LAB50: t65 = t69; LAB46: t73 = (t4 + 0U); t74 = *((int *)t73); t75 = (t4 + 4U); t76 = *((int *)t75); t77 = (t4 + 8U); t78 = *((int *)t77); if (t74 > t76) goto LAB51; LAB52: if (t78 == -1) goto LAB56; LAB57: t72 = t74; LAB53: t79 = (t64 + 0U); t80 = (t79 + 0U); *((int *)t80) = t65; t80 = (t79 + 4U); *((int *)t80) = t72; t80 = (t79 + 8U); *((int *)t80) = -1; t81 = (t72 - t65); t82 = (t81 * -1); t82 = (t82 + 1); t80 = (t79 + 12U); *((unsigned int *)t80) = t82; t80 = (t6 + 124U); t83 = ((IEEE_P_2592010699) + 4024); t84 = (t80 + 88U); *((char **)t84) = t83; t85 = (char *)alloca(t42); t86 = (t80 + 56U); *((char **)t86) = t85; xsi_type_set_default_value(t83, t85, t64); t87 = (t80 + 64U); *((char **)t87) = t64; t88 = (t80 + 80U); *((unsigned int *)t88) = t42; t89 = (t7 + 4U); t90 = (t3 != 0); if (t90 == 1) goto LAB59; LAB58: t91 = (t7 + 12U); *((char **)t91) = t4; t92 = (t7 + 20U); *((unsigned char *)t92) = t5; t93 = (t80 + 56U); t94 = *((char **)t93); t93 = (t4 + 0U); t96 = *((int *)t93); t97 = (t4 + 4U); t98 = *((int *)t97); t99 = (t4 + 8U); t100 = *((int *)t99); if (t96 > t98) goto LAB60; LAB61: if (t100 == -1) goto LAB65; LAB66: t95 = t96; LAB62: t101 = (t64 + 0U); t102 = *((int *)t101); t103 = (t64 + 8U); t104 = *((int *)t103); t105 = (t95 - t102); t82 = (t105 * t104); t106 = (t64 + 4U); t107 = *((int *)t106); xsi_vhdl_check_range_of_index(t102, t107, t104, t95); t108 = (1U * t82); t109 = (0 + t108); t110 = (t94 + t109); *((unsigned char *)t110) = t5; t9 = (t40 + 56U); t11 = *((char **)t9); t9 = (t11 + 0); t13 = (t4 + 12U); t23 = *((unsigned int *)t13); t23 = (t23 * 1U); memcpy(t9, t3, t23); t9 = (t24 + 0U); t10 = *((int *)t9); t11 = (t24 + 4U); t12 = *((int *)t11); t13 = (t24 + 8U); t14 = *((int *)t13); if (t10 > t12) goto LAB71; LAB72: if (t14 == -1) goto LAB76; LAB77: t8 = t12; LAB73: t16 = (t24 + 0U); t17 = *((int *)t16); t18 = (t24 + 4U); t19 = *((int *)t18); t20 = (t24 + 8U); t21 = *((int *)t20); if (t17 > t19) goto LAB78; LAB79: if (t21 == -1) goto LAB83; LAB84: t15 = t17; LAB80: t22 = (t15 + 1); t25 = t22; t27 = t8; LAB67: if (t25 <= t27) goto LAB68; LAB70: t9 = (t24 + 0U); t10 = *((int *)t9); t11 = (t24 + 4U); t12 = *((int *)t11); t13 = (t24 + 8U); t14 = *((int *)t13); if (t10 > t12) goto LAB90; LAB91: if (t14 == -1) goto LAB95; LAB96: t8 = t12; LAB92: t16 = (t24 + 0U); t17 = *((int *)t16); t18 = (t24 + 4U); t19 = *((int *)t18); t20 = (t24 + 8U); t21 = *((int *)t20); if (t17 > t19) goto LAB97; LAB98: if (t21 == -1) goto LAB102; LAB103: t15 = t17; LAB99: t22 = t15; t25 = t8; LAB86: if (t22 <= t25) goto LAB87; LAB89: t9 = (t40 + 56U); t11 = *((char **)t9); t9 = (t24 + 12U); t23 = *((unsigned int *)t9); t23 = (t23 * 1U); t0 = xsi_get_transient_memory(t23); memcpy(t0, t11, t23); t13 = (t24 + 0U); t8 = *((int *)t13); t16 = (t24 + 4U); t10 = *((int *)t16); t18 = (t24 + 8U); t12 = *((int *)t18); t20 = (t2 + 0U); t26 = (t20 + 0U); *((int *)t26) = t8; t26 = (t20 + 4U); *((int *)t26) = t10; t26 = (t20 + 8U); *((int *)t26) = t12; t14 = (t10 - t8); t42 = (t14 * t12); t42 = (t42 + 1); t26 = (t20 + 12U); *((unsigned int *)t26) = t42; LAB1: return t0; LAB2: if (t14 == 1) goto LAB5; LAB6: t8 = t10; goto LAB4; LAB5: t8 = t12; goto LAB4; LAB7: t8 = t10; goto LAB4; LAB9: if (t21 == 1) goto LAB12; LAB13: t15 = t19; goto LAB11; LAB12: t15 = t17; goto LAB11; LAB14: t15 = t19; goto LAB11; LAB16: if (t31 == 1) goto LAB19; LAB20: t25 = t27; goto LAB18; LAB19: t25 = t29; goto LAB18; LAB21: t25 = t27; goto LAB18; LAB23: if (t38 == 1) goto LAB26; LAB27: t32 = t36; goto LAB25; LAB26: t32 = t34; goto LAB25; LAB28: t32 = t36; goto LAB25; LAB30: if (t55 == 1) goto LAB33; LAB34: t49 = t51; goto LAB32; LAB33: t49 = t53; goto LAB32; LAB35: t49 = t51; goto LAB32; LAB37: if (t62 == 1) goto LAB40; LAB41: t56 = t60; goto LAB39; LAB40: t56 = t58; goto LAB39; LAB42: t56 = t60; goto LAB39; LAB44: if (t71 == 1) goto LAB47; LAB48: t65 = t67; goto LAB46; LAB47: t65 = t69; goto LAB46; LAB49: t65 = t67; goto LAB46; LAB51: if (t78 == 1) goto LAB54; LAB55: t72 = t76; goto LAB53; LAB54: t72 = t74; goto LAB53; LAB56: t72 = t76; goto LAB53; LAB59: *((char **)t89) = t3; goto LAB58; LAB60: if (t100 == 1) goto LAB63; LAB64: t95 = t98; goto LAB62; LAB63: t95 = t96; goto LAB62; LAB65: t95 = t98; goto LAB62; LAB68: t26 = (t40 + 56U); t28 = *((char **)t26); t29 = (t25 - 1); t26 = (t24 + 0U); t31 = *((int *)t26); t30 = (t24 + 8U); t32 = *((int *)t30); t34 = (t29 - t31); t23 = (t34 * t32); t33 = (t24 + 4U); t36 = *((int *)t33); xsi_vhdl_check_range_of_index(t31, t36, t32, t29); t42 = (1U * t23); t82 = (0 + t42); t35 = (t28 + t82); t90 = *((unsigned char *)t35); t37 = (t80 + 56U); t39 = *((char **)t37); t38 = (t25 - 1); t37 = (t64 + 0U); t41 = *((int *)t37); t43 = (t64 + 8U); t49 = *((int *)t43); t51 = (t38 - t41); t108 = (t51 * t49); t44 = (t64 + 4U); t53 = *((int *)t44); xsi_vhdl_check_range_of_index(t41, t53, t49, t38); t109 = (1U * t108); t111 = (0 + t109); t46 = (t39 + t111); t112 = *((unsigned char *)t46); t113 = ieee_p_2592010699_sub_1605435078_2592010699(IEEE_P_2592010699, t90, t112); t47 = (t80 + 56U); t48 = *((char **)t47); t47 = (t64 + 0U); t55 = *((int *)t47); t50 = (t64 + 8U); t56 = *((int *)t50); t58 = (t25 - t55); t114 = (t58 * t56); t52 = (t64 + 4U); t60 = *((int *)t52); xsi_vhdl_check_range_of_index(t55, t60, t56, t25); t115 = (1U * t114); t116 = (0 + t115); t54 = (t48 + t116); *((unsigned char *)t54) = t113; LAB69: if (t25 == t27) goto LAB70; LAB85: t8 = (t25 + 1); t25 = t8; goto LAB67; LAB71: if (t14 == 1) goto LAB74; LAB75: t8 = t10; goto LAB73; LAB74: t8 = t12; goto LAB73; LAB76: t8 = t10; goto LAB73; LAB78: if (t21 == 1) goto LAB81; LAB82: t15 = t19; goto LAB80; LAB81: t15 = t17; goto LAB80; LAB83: t15 = t19; goto LAB80; LAB87: t26 = (t80 + 56U); t28 = *((char **)t26); t26 = (t64 + 0U); t27 = *((int *)t26); t30 = (t64 + 8U); t29 = *((int *)t30); t31 = (t22 - t27); t23 = (t31 * t29); t33 = (t64 + 4U); t32 = *((int *)t33); xsi_vhdl_check_range_of_index(t27, t32, t29, t22); t42 = (1U * t23); t82 = (0 + t42); t35 = (t28 + t82); t90 = *((unsigned char *)t35); t112 = (t90 == (unsigned char)3); if (t112 != 0) goto LAB104; LAB106: LAB105: LAB88: if (t22 == t25) goto LAB89; LAB107: t8 = (t22 + 1); t22 = t8; goto LAB86; LAB90: if (t14 == 1) goto LAB93; LAB94: t8 = t10; goto LAB92; LAB93: t8 = t12; goto LAB92; LAB95: t8 = t10; goto LAB92; LAB97: if (t21 == 1) goto LAB100; LAB101: t15 = t19; goto LAB99; LAB100: t15 = t17; goto LAB99; LAB102: t15 = t19; goto LAB99; LAB104: t37 = (t40 + 56U); t39 = *((char **)t37); t37 = (t24 + 0U); t34 = *((int *)t37); t43 = (t24 + 8U); t36 = *((int *)t43); t38 = (t22 - t34); t108 = (t38 * t36); t44 = (t24 + 4U); t41 = *((int *)t44); xsi_vhdl_check_range_of_index(t34, t41, t36, t22); t109 = (1U * t108); t111 = (0 + t109); t46 = (t39 + t111); t113 = *((unsigned char *)t46); t117 = ieee_p_2592010699_sub_1690584930_2592010699(IEEE_P_2592010699, t113); t47 = (t40 + 56U); t48 = *((char **)t47); t47 = (t24 + 0U); t49 = *((int *)t47); t50 = (t24 + 8U); t51 = *((int *)t50); t53 = (t22 - t49); t114 = (t53 * t51); t52 = (t24 + 4U); t55 = *((int *)t52); xsi_vhdl_check_range_of_index(t49, t55, t51, t22); t115 = (1U * t114); t116 = (0 + t115); t54 = (t48 + t116); *((unsigned char *)t54) = t117; goto LAB105; LAB108:; } char *noclib_p_2086312171_sub_24076364_2086312171(char *t1, char *t2, char *t3, char *t4, unsigned char t5) { char t6[248]; char t7[24]; char t24[16]; char t64[16]; char *t0; int t8; char *t9; int t10; char *t11; int t12; char *t13; int t14; int t15; char *t16; int t17; char *t18; int t19; char *t20; int t21; int t22; unsigned int t23; int t25; char *t26; int t27; char *t28; int t29; char *t30; int t31; int t32; char *t33; int t34; char *t35; int t36; char *t37; int t38; char *t39; char *t40; int t41; unsigned int t42; char *t43; char *t44; char *t45; char *t46; char *t47; char *t48; int t49; char *t50; int t51; char *t52; int t53; char *t54; int t55; int t56; char *t57; int t58; char *t59; int t60; char *t61; int t62; int t63; int t65; char *t66; int t67; char *t68; int t69; char *t70; int t71; int t72; char *t73; int t74; char *t75; int t76; char *t77; int t78; char *t79; char *t80; int t81; unsigned int t82; char *t83; char *t84; char *t85; char *t86; char *t87; char *t88; char *t89; unsigned char t90; char *t91; char *t92; unsigned char t93; char *t94; char *t95; int t96; int t97; char *t98; int t99; char *t100; int t101; char *t102; int t103; char *t104; int t105; int t106; char *t107; int t108; unsigned int t109; unsigned int t110; char *t111; unsigned int t112; unsigned char t113; unsigned int t114; unsigned int t115; unsigned int t116; unsigned char t117; LAB0: t9 = (t4 + 0U); t10 = *((int *)t9); t11 = (t4 + 4U); t12 = *((int *)t11); t13 = (t4 + 8U); t14 = *((int *)t13); if (t10 > t12) goto LAB2; LAB3: if (t14 == -1) goto LAB7; LAB8: t8 = t12; LAB4: t16 = (t4 + 0U); t17 = *((int *)t16); t18 = (t4 + 4U); t19 = *((int *)t18); t20 = (t4 + 8U); t21 = *((int *)t20); if (t17 > t19) goto LAB9; LAB10: if (t21 == -1) goto LAB14; LAB15: t15 = t17; LAB11: t22 = (t15 - t8); t23 = (t22 * -1); t23 = (t23 + 1); t23 = (t23 * 1U); t26 = (t4 + 0U); t27 = *((int *)t26); t28 = (t4 + 4U); t29 = *((int *)t28); t30 = (t4 + 8U); t31 = *((int *)t30); if (t27 > t29) goto LAB16; LAB17: if (t31 == -1) goto LAB21; LAB22: t25 = t29; LAB18: t33 = (t4 + 0U); t34 = *((int *)t33); t35 = (t4 + 4U); t36 = *((int *)t35); t37 = (t4 + 8U); t38 = *((int *)t37); if (t34 > t36) goto LAB23; LAB24: if (t38 == -1) goto LAB28; LAB29: t32 = t34; LAB25: t39 = (t24 + 0U); t40 = (t39 + 0U); *((int *)t40) = t25; t40 = (t39 + 4U); *((int *)t40) = t32; t40 = (t39 + 8U); *((int *)t40) = -1; t41 = (t32 - t25); t42 = (t41 * -1); t42 = (t42 + 1); t40 = (t39 + 12U); *((unsigned int *)t40) = t42; t40 = (t6 + 4U); t43 = ((IEEE_P_2592010699) + 4024); t44 = (t40 + 88U); *((char **)t44) = t43; t45 = (char *)alloca(t23); t46 = (t40 + 56U); *((char **)t46) = t45; xsi_type_set_default_value(t43, t45, t24); t47 = (t40 + 64U); *((char **)t47) = t24; t48 = (t40 + 80U); *((unsigned int *)t48) = t23; t50 = (t4 + 0U); t51 = *((int *)t50); t52 = (t4 + 4U); t53 = *((int *)t52); t54 = (t4 + 8U); t55 = *((int *)t54); if (t51 > t53) goto LAB30; LAB31: if (t55 == -1) goto LAB35; LAB36: t49 = t53; LAB32: t57 = (t4 + 0U); t58 = *((int *)t57); t59 = (t4 + 4U); t60 = *((int *)t59); t61 = (t4 + 8U); t62 = *((int *)t61); if (t58 > t60) goto LAB37; LAB38: if (t62 == -1) goto LAB42; LAB43: t56 = t58; LAB39: t63 = (t56 - t49); t42 = (t63 * -1); t42 = (t42 + 1); t42 = (t42 * 1U); t66 = (t4 + 0U); t67 = *((int *)t66); t68 = (t4 + 4U); t69 = *((int *)t68); t70 = (t4 + 8U); t71 = *((int *)t70); if (t67 > t69) goto LAB44; LAB45: if (t71 == -1) goto LAB49; LAB50: t65 = t69; LAB46: t73 = (t4 + 0U); t74 = *((int *)t73); t75 = (t4 + 4U); t76 = *((int *)t75); t77 = (t4 + 8U); t78 = *((int *)t77); if (t74 > t76) goto LAB51; LAB52: if (t78 == -1) goto LAB56; LAB57: t72 = t74; LAB53: t79 = (t64 + 0U); t80 = (t79 + 0U); *((int *)t80) = t65; t80 = (t79 + 4U); *((int *)t80) = t72; t80 = (t79 + 8U); *((int *)t80) = -1; t81 = (t72 - t65); t82 = (t81 * -1); t82 = (t82 + 1); t80 = (t79 + 12U); *((unsigned int *)t80) = t82; t80 = (t6 + 124U); t83 = ((IEEE_P_2592010699) + 4024); t84 = (t80 + 88U); *((char **)t84) = t83; t85 = (char *)alloca(t42); t86 = (t80 + 56U); *((char **)t86) = t85; xsi_type_set_default_value(t83, t85, t64); t87 = (t80 + 64U); *((char **)t87) = t64; t88 = (t80 + 80U); *((unsigned int *)t88) = t42; t89 = (t7 + 4U); t90 = (t3 != 0); if (t90 == 1) goto LAB59; LAB58: t91 = (t7 + 12U); *((char **)t91) = t4; t92 = (t7 + 20U); *((unsigned char *)t92) = t5; t93 = ieee_p_2592010699_sub_1690584930_2592010699(IEEE_P_2592010699, t5); t94 = (t80 + 56U); t95 = *((char **)t94); t94 = (t4 + 0U); t97 = *((int *)t94); t98 = (t4 + 4U); t99 = *((int *)t98); t100 = (t4 + 8U); t101 = *((int *)t100); if (t97 > t99) goto LAB60; LAB61: if (t101 == -1) goto LAB65; LAB66: t96 = t97; LAB62: t102 = (t64 + 0U); t103 = *((int *)t102); t104 = (t64 + 8U); t105 = *((int *)t104); t106 = (t96 - t103); t82 = (t106 * t105); t107 = (t64 + 4U); t108 = *((int *)t107); xsi_vhdl_check_range_of_index(t103, t108, t105, t96); t109 = (1U * t82); t110 = (0 + t109); t111 = (t95 + t110); *((unsigned char *)t111) = t93; t9 = (t40 + 56U); t11 = *((char **)t9); t9 = (t11 + 0); t13 = (t4 + 12U); t23 = *((unsigned int *)t13); t23 = (t23 * 1U); memcpy(t9, t3, t23); t9 = (t24 + 0U); t10 = *((int *)t9); t11 = (t24 + 4U); t12 = *((int *)t11); t13 = (t24 + 8U); t14 = *((int *)t13); if (t10 > t12) goto LAB71; LAB72: if (t14 == -1) goto LAB76; LAB77: t8 = t12; LAB73: t16 = (t24 + 0U); t17 = *((int *)t16); t18 = (t24 + 4U); t19 = *((int *)t18); t20 = (t24 + 8U); t21 = *((int *)t20); if (t17 > t19) goto LAB78; LAB79: if (t21 == -1) goto LAB83; LAB84: t15 = t17; LAB80: t22 = (t15 + 1); t25 = t22; t27 = t8; LAB67: if (t25 <= t27) goto LAB68; LAB70: t9 = (t24 + 0U); t10 = *((int *)t9); t11 = (t24 + 4U); t12 = *((int *)t11); t13 = (t24 + 8U); t14 = *((int *)t13); if (t10 > t12) goto LAB90; LAB91: if (t14 == -1) goto LAB95; LAB96: t8 = t12; LAB92: t16 = (t24 + 0U); t17 = *((int *)t16); t18 = (t24 + 4U); t19 = *((int *)t18); t20 = (t24 + 8U); t21 = *((int *)t20); if (t17 > t19) goto LAB97; LAB98: if (t21 == -1) goto LAB102; LAB103: t15 = t17; LAB99: t22 = t15; t25 = t8; LAB86: if (t22 <= t25) goto LAB87; LAB89: t9 = (t40 + 56U); t11 = *((char **)t9); t9 = (t24 + 12U); t23 = *((unsigned int *)t9); t23 = (t23 * 1U); t0 = xsi_get_transient_memory(t23); memcpy(t0, t11, t23); t13 = (t24 + 0U); t8 = *((int *)t13); t16 = (t24 + 4U); t10 = *((int *)t16); t18 = (t24 + 8U); t12 = *((int *)t18); t20 = (t2 + 0U); t26 = (t20 + 0U); *((int *)t26) = t8; t26 = (t20 + 4U); *((int *)t26) = t10; t26 = (t20 + 8U); *((int *)t26) = t12; t14 = (t10 - t8); t42 = (t14 * t12); t42 = (t42 + 1); t26 = (t20 + 12U); *((unsigned int *)t26) = t42; LAB1: return t0; LAB2: if (t14 == 1) goto LAB5; LAB6: t8 = t10; goto LAB4; LAB5: t8 = t12; goto LAB4; LAB7: t8 = t10; goto LAB4; LAB9: if (t21 == 1) goto LAB12; LAB13: t15 = t19; goto LAB11; LAB12: t15 = t17; goto LAB11; LAB14: t15 = t19; goto LAB11; LAB16: if (t31 == 1) goto LAB19; LAB20: t25 = t27; goto LAB18; LAB19: t25 = t29; goto LAB18; LAB21: t25 = t27; goto LAB18; LAB23: if (t38 == 1) goto LAB26; LAB27: t32 = t36; goto LAB25; LAB26: t32 = t34; goto LAB25; LAB28: t32 = t36; goto LAB25; LAB30: if (t55 == 1) goto LAB33; LAB34: t49 = t51; goto LAB32; LAB33: t49 = t53; goto LAB32; LAB35: t49 = t51; goto LAB32; LAB37: if (t62 == 1) goto LAB40; LAB41: t56 = t60; goto LAB39; LAB40: t56 = t58; goto LAB39; LAB42: t56 = t60; goto LAB39; LAB44: if (t71 == 1) goto LAB47; LAB48: t65 = t67; goto LAB46; LAB47: t65 = t69; goto LAB46; LAB49: t65 = t67; goto LAB46; LAB51: if (t78 == 1) goto LAB54; LAB55: t72 = t76; goto LAB53; LAB54: t72 = t74; goto LAB53; LAB56: t72 = t76; goto LAB53; LAB59: *((char **)t89) = t3; goto LAB58; LAB60: if (t101 == 1) goto LAB63; LAB64: t96 = t99; goto LAB62; LAB63: t96 = t97; goto LAB62; LAB65: t96 = t99; goto LAB62; LAB68: t26 = (t40 + 56U); t28 = *((char **)t26); t29 = (t25 - 1); t26 = (t24 + 0U); t31 = *((int *)t26); t30 = (t24 + 8U); t32 = *((int *)t30); t34 = (t29 - t31); t23 = (t34 * t32); t33 = (t24 + 4U); t36 = *((int *)t33); xsi_vhdl_check_range_of_index(t31, t36, t32, t29); t42 = (1U * t23); t82 = (0 + t42); t35 = (t28 + t82); t90 = *((unsigned char *)t35); t37 = (t80 + 56U); t39 = *((char **)t37); t38 = (t25 - 1); t37 = (t64 + 0U); t41 = *((int *)t37); t43 = (t64 + 8U); t49 = *((int *)t43); t51 = (t38 - t41); t109 = (t51 * t49); t44 = (t64 + 4U); t53 = *((int *)t44); xsi_vhdl_check_range_of_index(t41, t53, t49, t38); t110 = (1U * t109); t112 = (0 + t110); t46 = (t39 + t112); t93 = *((unsigned char *)t46); t113 = ieee_p_2592010699_sub_2545490612_2592010699(IEEE_P_2592010699, t90, t93); t47 = (t80 + 56U); t48 = *((char **)t47); t47 = (t64 + 0U); t55 = *((int *)t47); t50 = (t64 + 8U); t56 = *((int *)t50); t58 = (t25 - t55); t114 = (t58 * t56); t52 = (t64 + 4U); t60 = *((int *)t52); xsi_vhdl_check_range_of_index(t55, t60, t56, t25); t115 = (1U * t114); t116 = (0 + t115); t54 = (t48 + t116); *((unsigned char *)t54) = t113; LAB69: if (t25 == t27) goto LAB70; LAB85: t8 = (t25 + 1); t25 = t8; goto LAB67; LAB71: if (t14 == 1) goto LAB74; LAB75: t8 = t10; goto LAB73; LAB74: t8 = t12; goto LAB73; LAB76: t8 = t10; goto LAB73; LAB78: if (t21 == 1) goto LAB81; LAB82: t15 = t19; goto LAB80; LAB81: t15 = t17; goto LAB80; LAB83: t15 = t19; goto LAB80; LAB87: t26 = (t80 + 56U); t28 = *((char **)t26); t26 = (t64 + 0U); t27 = *((int *)t26); t30 = (t64 + 8U); t29 = *((int *)t30); t31 = (t22 - t27); t23 = (t31 * t29); t33 = (t64 + 4U); t32 = *((int *)t33); xsi_vhdl_check_range_of_index(t27, t32, t29, t22); t42 = (1U * t23); t82 = (0 + t42); t35 = (t28 + t82); t90 = *((unsigned char *)t35); t93 = (t90 == (unsigned char)2); if (t93 != 0) goto LAB104; LAB106: LAB105: LAB88: if (t22 == t25) goto LAB89; LAB107: t8 = (t22 + 1); t22 = t8; goto LAB86; LAB90: if (t14 == 1) goto LAB93; LAB94: t8 = t10; goto LAB92; LAB93: t8 = t12; goto LAB92; LAB95: t8 = t10; goto LAB92; LAB97: if (t21 == 1) goto LAB100; LAB101: t15 = t19; goto LAB99; LAB100: t15 = t17; goto LAB99; LAB102: t15 = t19; goto LAB99; LAB104: t37 = (t40 + 56U); t39 = *((char **)t37); t37 = (t24 + 0U); t34 = *((int *)t37); t43 = (t24 + 8U); t36 = *((int *)t43); t38 = (t22 - t34); t109 = (t38 * t36); t44 = (t24 + 4U); t41 = *((int *)t44); xsi_vhdl_check_range_of_index(t34, t41, t36, t22); t110 = (1U * t109); t112 = (0 + t110); t46 = (t39 + t112); t113 = *((unsigned char *)t46); t117 = ieee_p_2592010699_sub_1690584930_2592010699(IEEE_P_2592010699, t113); t47 = (t40 + 56U); t48 = *((char **)t47); t47 = (t24 + 0U); t49 = *((int *)t47); t50 = (t24 + 8U); t51 = *((int *)t50); t53 = (t22 - t49); t114 = (t53 * t51); t52 = (t24 + 4U); t55 = *((int *)t52); xsi_vhdl_check_range_of_index(t49, t55, t51, t22); t115 = (1U * t114); t116 = (0 + t115); t54 = (t48 + t116); *((unsigned char *)t54) = t117; goto LAB105; LAB108:; } unsigned char noclib_p_2086312171_sub_4197209248_2086312171(char *t1, char *t2, char *t3) { char t4[128]; char t5[24]; char t9[8]; unsigned char t0; char *t6; char *t7; char *t8; char *t10; char *t11; char *t12; unsigned char t13; char *t14; int t15; char *t16; int t17; char *t18; int t19; char *t20; int t21; char *t22; int t23; char *t24; int t25; int t26; unsigned int t27; unsigned int t28; unsigned int t29; char *t30; unsigned char t31; char *t32; char *t33; int t34; int t35; int t36; int t37; int t38; int t39; int t40; int t41; unsigned char t42; char *t43; LAB0: t6 = (t4 + 4U); t7 = ((IEEE_P_2592010699) + 3320); t8 = (t6 + 88U); *((char **)t8) = t7; t10 = (t6 + 56U); *((char **)t10) = t9; xsi_type_set_default_value(t7, t9, 0); t11 = (t6 + 80U); *((unsigned int *)t11) = 1U; t12 = (t5 + 4U); t13 = (t2 != 0); if (t13 == 1) goto LAB3; LAB2: t14 = (t5 + 12U); *((char **)t14) = t3; t16 = (t3 + 0U); t17 = *((int *)t16); t18 = (t3 + 4U); t19 = *((int *)t18); t20 = (t3 + 8U); t21 = *((int *)t20); if (t17 > t19) goto LAB4; LAB5: if (t21 == -1) goto LAB9; LAB10: t15 = t17; LAB6: t22 = (t3 + 0U); t23 = *((int *)t22); t24 = (t3 + 8U); t25 = *((int *)t24); t26 = (t15 - t23); t27 = (t26 * t25); t28 = (1U * t27); t29 = (0 + t28); t30 = (t2 + t29); t31 = *((unsigned char *)t30); t32 = (t6 + 56U); t33 = *((char **)t32); t32 = (t33 + 0); *((unsigned char *)t32) = t31; t7 = (t3 + 0U); t17 = *((int *)t7); t8 = (t3 + 4U); t19 = *((int *)t8); t10 = (t3 + 8U); t21 = *((int *)t10); if (t17 > t19) goto LAB15; LAB16: if (t21 == -1) goto LAB20; LAB21: t15 = t19; LAB17: t11 = (t3 + 0U); t25 = *((int *)t11); t16 = (t3 + 4U); t26 = *((int *)t16); t18 = (t3 + 8U); t34 = *((int *)t18); if (t25 > t26) goto LAB22; LAB23: if (t34 == -1) goto LAB27; LAB28: t23 = t25; LAB24: t35 = (t23 + 1); t36 = t35; t37 = t15; LAB11: if (t36 <= t37) goto LAB12; LAB14: t7 = (t6 + 56U); t8 = *((char **)t7); t13 = *((unsigned char *)t8); t0 = t13; LAB1: return t0; LAB3: *((char **)t12) = t2; goto LAB2; LAB4: if (t21 == 1) goto LAB7; LAB8: t15 = t19; goto LAB6; LAB7: t15 = t17; goto LAB6; LAB9: t15 = t19; goto LAB6; LAB12: t20 = (t6 + 56U); t22 = *((char **)t20); t13 = *((unsigned char *)t22); t20 = (t3 + 0U); t38 = *((int *)t20); t24 = (t3 + 8U); t39 = *((int *)t24); t40 = (t36 - t38); t27 = (t40 * t39); t30 = (t3 + 4U); t41 = *((int *)t30); xsi_vhdl_check_range_of_index(t38, t41, t39, t36); t28 = (1U * t27); t29 = (0 + t28); t32 = (t2 + t29); t31 = *((unsigned char *)t32); t42 = ieee_p_2592010699_sub_1605435078_2592010699(IEEE_P_2592010699, t13, t31); t33 = (t6 + 56U); t43 = *((char **)t33); t33 = (t43 + 0); *((unsigned char *)t33) = t42; LAB13: if (t36 == t37) goto LAB14; LAB29: t15 = (t36 + 1); t36 = t15; goto LAB11; LAB15: if (t21 == 1) goto LAB18; LAB19: t15 = t17; goto LAB17; LAB18: t15 = t19; goto LAB17; LAB20: t15 = t17; goto LAB17; LAB22: if (t34 == 1) goto LAB25; LAB26: t23 = t26; goto LAB24; LAB25: t23 = t25; goto LAB24; LAB27: t23 = t26; goto LAB24; LAB30:; } unsigned char noclib_p_2086312171_sub_1820592062_2086312171(char *t1, char *t2, char *t3) { char t4[128]; char t5[24]; char t9[8]; unsigned char t0; char *t6; char *t7; char *t8; char *t10; char *t11; char *t12; unsigned char t13; char *t14; char *t15; char *t16; int t17; int t18; int t19; int t20; int t21; int t22; int t23; int t24; int t25; int t26; char *t27; char *t28; int t29; char *t30; int t31; int t32; unsigned int t33; char *t34; int t35; unsigned int t36; unsigned int t37; char *t38; unsigned char t39; unsigned char t40; char *t41; char *t42; LAB0: t6 = (t4 + 4U); t7 = ((IEEE_P_2592010699) + 3320); t8 = (t6 + 88U); *((char **)t8) = t7; t10 = (t6 + 56U); *((char **)t10) = t9; xsi_type_set_default_value(t7, t9, 0); t11 = (t6 + 80U); *((unsigned int *)t11) = 1U; t12 = (t5 + 4U); t13 = (t2 != 0); if (t13 == 1) goto LAB3; LAB2: t14 = (t5 + 12U); *((char **)t14) = t3; t15 = (t6 + 56U); t16 = *((char **)t15); t15 = (t16 + 0); *((unsigned char *)t15) = (unsigned char)2; t7 = (t3 + 0U); t18 = *((int *)t7); t8 = (t3 + 4U); t19 = *((int *)t8); t10 = (t3 + 8U); t20 = *((int *)t10); if (t18 > t19) goto LAB8; LAB9: if (t20 == -1) goto LAB13; LAB14: t17 = t19; LAB10: t11 = (t3 + 0U); t22 = *((int *)t11); t15 = (t3 + 4U); t23 = *((int *)t15); t16 = (t3 + 8U); t24 = *((int *)t16); if (t22 > t23) goto LAB15; LAB16: if (t24 == -1) goto LAB20; LAB21: t21 = t22; LAB17: t25 = t21; t26 = t17; LAB4: if (t25 <= t26) goto LAB5; LAB7: t7 = (t6 + 56U); t8 = *((char **)t7); t13 = *((unsigned char *)t8); t39 = ieee_p_2592010699_sub_1690584930_2592010699(IEEE_P_2592010699, t13); t0 = t39; LAB1: return t0; LAB3: *((char **)t12) = t2; goto LAB2; LAB5: t27 = (t6 + 56U); t28 = *((char **)t27); t13 = *((unsigned char *)t28); t27 = (t3 + 0U); t29 = *((int *)t27); t30 = (t3 + 8U); t31 = *((int *)t30); t32 = (t25 - t29); t33 = (t32 * t31); t34 = (t3 + 4U); t35 = *((int *)t34); xsi_vhdl_check_range_of_index(t29, t35, t31, t25); t36 = (1U * t33); t37 = (0 + t36); t38 = (t2 + t37); t39 = *((unsigned char *)t38); t40 = ieee_p_2592010699_sub_2545490612_2592010699(IEEE_P_2592010699, t13, t39); t41 = (t6 + 56U); t42 = *((char **)t41); t41 = (t42 + 0); *((unsigned char *)t41) = t40; LAB6: if (t25 == t26) goto LAB7; LAB22: t17 = (t25 + 1); t25 = t17; goto LAB4; LAB8: if (t20 == 1) goto LAB11; LAB12: t17 = t18; goto LAB10; LAB11: t17 = t19; goto LAB10; LAB13: t17 = t18; goto LAB10; LAB15: if (t24 == 1) goto LAB18; LAB19: t21 = t23; goto LAB17; LAB18: t21 = t22; goto LAB17; LAB20: t21 = t23; goto LAB17; LAB23:; } char *noclib_p_2086312171_sub_3091728143_2086312171(char *t1, char *t2, char *t3, char *t4) { char t5[248]; char t6[24]; char t23[16]; char t62[8]; char *t0; int t7; char *t8; int t9; char *t10; int t11; char *t12; int t13; int t14; char *t15; int t16; char *t17; int t18; char *t19; int t20; int t21; unsigned int t22; int t24; char *t25; int t26; char *t27; int t28; char *t29; int t30; int t31; char *t32; int t33; char *t34; int t35; char *t36; int t37; char *t38; char *t39; int t40; unsigned int t41; char *t42; char *t43; char *t44; char *t45; char *t46; char *t47; char *t48; int t49; char *t50; int t51; char *t52; int t53; int t54; unsigned int t55; unsigned int t56; char *t57; unsigned char t58; char *t59; char *t60; char *t61; char *t63; char *t64; char *t65; unsigned char t66; char *t67; char *t68; int t69; int t70; char *t71; int t72; int t73; int t74; int t75; char *t76; int t77; char *t78; int t79; int t80; unsigned int t81; char *t82; int t83; unsigned int t84; unsigned int t85; char *t86; unsigned char t87; char *t88; char *t89; int t90; char *t91; int t92; int t93; unsigned int t94; char *t95; int t96; unsigned int t97; unsigned int t98; char *t99; LAB0: t8 = (t4 + 0U); t9 = *((int *)t8); t10 = (t4 + 4U); t11 = *((int *)t10); t12 = (t4 + 8U); t13 = *((int *)t12); if (t9 > t11) goto LAB2; LAB3: if (t13 == -1) goto LAB7; LAB8: t7 = t11; LAB4: t15 = (t4 + 0U); t16 = *((int *)t15); t17 = (t4 + 4U); t18 = *((int *)t17); t19 = (t4 + 8U); t20 = *((int *)t19); if (t16 > t18) goto LAB9; LAB10: if (t20 == -1) goto LAB14; LAB15: t14 = t16; LAB11: t21 = (t14 - t7); t22 = (t21 * -1); t22 = (t22 + 1); t22 = (t22 * 1U); t25 = (t4 + 0U); t26 = *((int *)t25); t27 = (t4 + 4U); t28 = *((int *)t27); t29 = (t4 + 8U); t30 = *((int *)t29); if (t26 > t28) goto LAB16; LAB17: if (t30 == -1) goto LAB21; LAB22: t24 = t28; LAB18: t32 = (t4 + 0U); t33 = *((int *)t32); t34 = (t4 + 4U); t35 = *((int *)t34); t36 = (t4 + 8U); t37 = *((int *)t36); if (t33 > t35) goto LAB23; LAB24: if (t37 == -1) goto LAB28; LAB29: t31 = t33; LAB25: t38 = (t23 + 0U); t39 = (t38 + 0U); *((int *)t39) = t24; t39 = (t38 + 4U); *((int *)t39) = t31; t39 = (t38 + 8U); *((int *)t39) = -1; t40 = (t31 - t24); t41 = (t40 * -1); t41 = (t41 + 1); t39 = (t38 + 12U); *((unsigned int *)t39) = t41; t39 = (t5 + 4U); t42 = ((IEEE_P_2592010699) + 4024); t43 = (t39 + 88U); *((char **)t43) = t42; t44 = (char *)alloca(t22); t45 = (t39 + 56U); *((char **)t45) = t44; xsi_type_set_default_value(t42, t44, t23); t46 = (t39 + 64U); *((char **)t46) = t23; t47 = (t39 + 80U); *((unsigned int *)t47) = t22; t48 = (t4 + 0U); t49 = *((int *)t48); t50 = (t4 + 0U); t51 = *((int *)t50); t52 = (t4 + 8U); t53 = *((int *)t52); t54 = (t49 - t51); t41 = (t54 * t53); t55 = (1U * t41); t56 = (0 + t55); t57 = (t3 + t56); t58 = *((unsigned char *)t57); t59 = (t5 + 124U); t60 = ((IEEE_P_2592010699) + 3320); t61 = (t59 + 88U); *((char **)t61) = t60; t63 = (t59 + 56U); *((char **)t63) = t62; *((unsigned char *)t62) = t58; t64 = (t59 + 80U); *((unsigned int *)t64) = 1U; t65 = (t6 + 4U); t66 = (t3 != 0); if (t66 == 1) goto LAB31; LAB30: t67 = (t6 + 12U); *((char **)t67) = t4; t68 = (t23 + 4U); t69 = *((int *)t68); t70 = (t69 + 1); t71 = (t23 + 0U); t72 = *((int *)t71); t73 = t72; t74 = t70; LAB32: if (t73 >= t74) goto LAB33; LAB35: t8 = (t59 + 56U); t10 = *((char **)t8); t58 = *((unsigned char *)t10); t8 = (t39 + 56U); t12 = *((char **)t8); t8 = (t23 + 4U); t7 = *((int *)t8); t15 = (t23 + 0U); t9 = *((int *)t15); t17 = (t23 + 8U); t11 = *((int *)t17); t13 = (t7 - t9); t22 = (t13 * t11); t41 = (1U * t22); t55 = (0 + t41); t19 = (t12 + t55); *((unsigned char *)t19) = t58; t8 = (t39 + 56U); t10 = *((char **)t8); t8 = (t23 + 12U); t22 = *((unsigned int *)t8); t22 = (t22 * 1U); t0 = xsi_get_transient_memory(t22); memcpy(t0, t10, t22); t12 = (t23 + 0U); t7 = *((int *)t12); t15 = (t23 + 4U); t9 = *((int *)t15); t17 = (t23 + 8U); t11 = *((int *)t17); t19 = (t2 + 0U); t25 = (t19 + 0U); *((int *)t25) = t7; t25 = (t19 + 4U); *((int *)t25) = t9; t25 = (t19 + 8U); *((int *)t25) = t11; t13 = (t9 - t7); t41 = (t13 * t11); t41 = (t41 + 1); t25 = (t19 + 12U); *((unsigned int *)t25) = t41; LAB1: return t0; LAB2: if (t13 == 1) goto LAB5; LAB6: t7 = t9; goto LAB4; LAB5: t7 = t11; goto LAB4; LAB7: t7 = t9; goto LAB4; LAB9: if (t20 == 1) goto LAB12; LAB13: t14 = t18; goto LAB11; LAB12: t14 = t16; goto LAB11; LAB14: t14 = t18; goto LAB11; LAB16: if (t30 == 1) goto LAB19; LAB20: t24 = t26; goto LAB18; LAB19: t24 = t28; goto LAB18; LAB21: t24 = t26; goto LAB18; LAB23: if (t37 == 1) goto LAB26; LAB27: t31 = t35; goto LAB25; LAB26: t31 = t33; goto LAB25; LAB28: t31 = t35; goto LAB25; LAB31: *((char **)t65) = t3; goto LAB30; LAB33: t75 = (t73 - 1); t76 = (t4 + 0U); t77 = *((int *)t76); t78 = (t4 + 8U); t79 = *((int *)t78); t80 = (t75 - t77); t81 = (t80 * t79); t82 = (t4 + 4U); t83 = *((int *)t82); xsi_vhdl_check_range_of_index(t77, t83, t79, t75); t84 = (1U * t81); t85 = (0 + t84); t86 = (t3 + t85); t87 = *((unsigned char *)t86); t88 = (t39 + 56U); t89 = *((char **)t88); t88 = (t23 + 0U); t90 = *((int *)t88); t91 = (t23 + 8U); t92 = *((int *)t91); t93 = (t73 - t90); t94 = (t93 * t92); t95 = (t23 + 4U); t96 = *((int *)t95); xsi_vhdl_check_range_of_index(t90, t96, t92, t73); t97 = (1U * t94); t98 = (0 + t97); t99 = (t89 + t98); *((unsigned char *)t99) = t87; LAB34: if (t73 == t74) goto LAB35; LAB36: t7 = (t73 + -1); t73 = t7; goto LAB32; LAB37:; } extern void noclib_p_2086312171_init() { static char *se[] = {(void *)noclib_p_2086312171_sub_2050139519_2086312171,(void *)noclib_p_2086312171_sub_24076364_2086312171,(void *)noclib_p_2086312171_sub_4197209248_2086312171,(void *)noclib_p_2086312171_sub_1820592062_2086312171,(void *)noclib_p_2086312171_sub_3091728143_2086312171}; xsi_register_didat("noclib_p_2086312171", "isim/MultiMPITest_isim_beh.exe.sim/noclib/p_2086312171.didat"); xsi_register_subprogram_executes(se); }