source: PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0/NOC/SCHEDULER11_11.VHD @ 145

Last change on this file since 145 was 101, checked in by rolagamo, 10 years ago
File size: 74.2 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler11_11 is
32    Port ( Request : in  STD_LOGIC_VECTOR (121 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (11 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (11 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (121 downto 1));
38end Scheduler11_11;
39
40architecture Behavioral of Scheduler11_11 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(21 downto 1) of STD_LOGIC_VECTOR(11 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (21 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(121 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant :  std_logic_vector(121 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61 priority_rotation_en <= '1' when unsigned(priority_rotation) = 2047 else       '0';
62--latch servant qui memorise le signal grant pendant a transmission
63grant_latch_process : process(clk)
64 begin
65  if rising_edge(clk) then
66   if reset = '1' then
67                grant_latch <= (others => '0');
68         elsif priority_rotation_en = '1' then
69           grant_latch <= Grant;
70   end if;
71   end if;
72 end process;
73 port_grant <= Grant and grant_latch;
74 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(12)(1); --  Grant(1,1)
75Grant(2)  <= Signal_grant(2)(2) or Signal_grant(13)(2); --  Grant(1,2)
76Grant(3)  <= Signal_grant(3)(3) or Signal_grant(14)(3); --  Grant(1,3)
77Grant(4)  <= Signal_grant(4)(4) or Signal_grant(15)(4); --  Grant(1,4)
78Grant(5)  <= Signal_grant(5)(5) or Signal_grant(16)(5); --  Grant(1,5)
79Grant(6)  <= Signal_grant(6)(6) or Signal_grant(17)(6); --  Grant(1,6)
80Grant(7)  <= Signal_grant(7)(7) or Signal_grant(18)(7); --  Grant(1,7)
81Grant(8)  <= Signal_grant(8)(8) or Signal_grant(19)(8); --  Grant(1,8)
82Grant(9)  <= Signal_grant(9)(9) or Signal_grant(20)(9); --  Grant(1,9)
83Grant(10)  <= Signal_grant(10)(10) or Signal_grant(21)(10); --  Grant(1,10)
84Grant(11)  <= Signal_grant(11)(11) ;                      --  Grant(1,11)
85Grant(12)  <= Signal_grant(2)(1) or Signal_grant(13)(1); --  Grant(2,1)
86Grant(13)  <= Signal_grant(3)(2) or Signal_grant(14)(2); --  Grant(2,2)
87Grant(14)  <= Signal_grant(4)(3) or Signal_grant(15)(3); --  Grant(2,3)
88Grant(15)  <= Signal_grant(5)(4) or Signal_grant(16)(4); --  Grant(2,4)
89Grant(16)  <= Signal_grant(6)(5) or Signal_grant(17)(5); --  Grant(2,5)
90Grant(17)  <= Signal_grant(7)(6) or Signal_grant(18)(6); --  Grant(2,6)
91Grant(18)  <= Signal_grant(8)(7) or Signal_grant(19)(7); --  Grant(2,7)
92Grant(19)  <= Signal_grant(9)(8) or Signal_grant(20)(8); --  Grant(2,8)
93Grant(20)  <= Signal_grant(10)(9) or Signal_grant(21)(9); --  Grant(2,9)
94Grant(21)  <= Signal_grant(11)(10) ;                      --  Grant(2,10)
95Grant(22)  <= Signal_grant(1)(11) or Signal_grant(12)(11); --  Grant(2,11)
96Grant(23)  <= Signal_grant(3)(1) or Signal_grant(14)(1); --  Grant(3,1)
97Grant(24)  <= Signal_grant(4)(2) or Signal_grant(15)(2); --  Grant(3,2)
98Grant(25)  <= Signal_grant(5)(3) or Signal_grant(16)(3); --  Grant(3,3)
99Grant(26)  <= Signal_grant(6)(4) or Signal_grant(17)(4); --  Grant(3,4)
100Grant(27)  <= Signal_grant(7)(5) or Signal_grant(18)(5); --  Grant(3,5)
101Grant(28)  <= Signal_grant(8)(6) or Signal_grant(19)(6); --  Grant(3,6)
102Grant(29)  <= Signal_grant(9)(7) or Signal_grant(20)(7); --  Grant(3,7)
103Grant(30)  <= Signal_grant(10)(8) or Signal_grant(21)(8); --  Grant(3,8)
104Grant(31)  <= Signal_grant(11)(9) ;                      --  Grant(3,9)
105Grant(32)  <= Signal_grant(1)(10) or Signal_grant(12)(10); --  Grant(3,10)
106Grant(33)  <= Signal_grant(2)(11) or Signal_grant(13)(11); --  Grant(3,11)
107Grant(34)  <= Signal_grant(4)(1) or Signal_grant(15)(1); --  Grant(4,1)
108Grant(35)  <= Signal_grant(5)(2) or Signal_grant(16)(2); --  Grant(4,2)
109Grant(36)  <= Signal_grant(6)(3) or Signal_grant(17)(3); --  Grant(4,3)
110Grant(37)  <= Signal_grant(7)(4) or Signal_grant(18)(4); --  Grant(4,4)
111Grant(38)  <= Signal_grant(8)(5) or Signal_grant(19)(5); --  Grant(4,5)
112Grant(39)  <= Signal_grant(9)(6) or Signal_grant(20)(6); --  Grant(4,6)
113Grant(40)  <= Signal_grant(10)(7) or Signal_grant(21)(7); --  Grant(4,7)
114Grant(41)  <= Signal_grant(11)(8) ;                      --  Grant(4,8)
115Grant(42)  <= Signal_grant(1)(9) or Signal_grant(12)(9); --  Grant(4,9)
116Grant(43)  <= Signal_grant(2)(10) or Signal_grant(13)(10); --  Grant(4,10)
117Grant(44)  <= Signal_grant(3)(11) or Signal_grant(14)(11); --  Grant(4,11)
118Grant(45)  <= Signal_grant(5)(1) or Signal_grant(16)(1); --  Grant(5,1)
119Grant(46)  <= Signal_grant(6)(2) or Signal_grant(17)(2); --  Grant(5,2)
120Grant(47)  <= Signal_grant(7)(3) or Signal_grant(18)(3); --  Grant(5,3)
121Grant(48)  <= Signal_grant(8)(4) or Signal_grant(19)(4); --  Grant(5,4)
122Grant(49)  <= Signal_grant(9)(5) or Signal_grant(20)(5); --  Grant(5,5)
123Grant(50)  <= Signal_grant(10)(6) or Signal_grant(21)(6); --  Grant(5,6)
124Grant(51)  <= Signal_grant(11)(7) ;                      --  Grant(5,7)
125Grant(52)  <= Signal_grant(1)(8) or Signal_grant(12)(8); --  Grant(5,8)
126Grant(53)  <= Signal_grant(2)(9) or Signal_grant(13)(9); --  Grant(5,9)
127Grant(54)  <= Signal_grant(3)(10) or Signal_grant(14)(10); --  Grant(5,10)
128Grant(55)  <= Signal_grant(4)(11) or Signal_grant(15)(11); --  Grant(5,11)
129Grant(56)  <= Signal_grant(6)(1) or Signal_grant(17)(1); --  Grant(6,1)
130Grant(57)  <= Signal_grant(7)(2) or Signal_grant(18)(2); --  Grant(6,2)
131Grant(58)  <= Signal_grant(8)(3) or Signal_grant(19)(3); --  Grant(6,3)
132Grant(59)  <= Signal_grant(9)(4) or Signal_grant(20)(4); --  Grant(6,4)
133Grant(60)  <= Signal_grant(10)(5) or Signal_grant(21)(5); --  Grant(6,5)
134Grant(61)  <= Signal_grant(11)(6) ;                      --  Grant(6,6)
135Grant(62)  <= Signal_grant(1)(7) or Signal_grant(12)(7); --  Grant(6,7)
136Grant(63)  <= Signal_grant(2)(8) or Signal_grant(13)(8); --  Grant(6,8)
137Grant(64)  <= Signal_grant(3)(9) or Signal_grant(14)(9); --  Grant(6,9)
138Grant(65)  <= Signal_grant(4)(10) or Signal_grant(15)(10); --  Grant(6,10)
139Grant(66)  <= Signal_grant(5)(11) or Signal_grant(16)(11); --  Grant(6,11)
140Grant(67)  <= Signal_grant(7)(1) or Signal_grant(18)(1); --  Grant(7,1)
141Grant(68)  <= Signal_grant(8)(2) or Signal_grant(19)(2); --  Grant(7,2)
142Grant(69)  <= Signal_grant(9)(3) or Signal_grant(20)(3); --  Grant(7,3)
143Grant(70)  <= Signal_grant(10)(4) or Signal_grant(21)(4); --  Grant(7,4)
144Grant(71)  <= Signal_grant(11)(5) ;                      --  Grant(7,5)
145Grant(72)  <= Signal_grant(1)(6) or Signal_grant(12)(6); --  Grant(7,6)
146Grant(73)  <= Signal_grant(2)(7) or Signal_grant(13)(7); --  Grant(7,7)
147Grant(74)  <= Signal_grant(3)(8) or Signal_grant(14)(8); --  Grant(7,8)
148Grant(75)  <= Signal_grant(4)(9) or Signal_grant(15)(9); --  Grant(7,9)
149Grant(76)  <= Signal_grant(5)(10) or Signal_grant(16)(10); --  Grant(7,10)
150Grant(77)  <= Signal_grant(6)(11) or Signal_grant(17)(11); --  Grant(7,11)
151Grant(78)  <= Signal_grant(8)(1) or Signal_grant(19)(1); --  Grant(8,1)
152Grant(79)  <= Signal_grant(9)(2) or Signal_grant(20)(2); --  Grant(8,2)
153Grant(80)  <= Signal_grant(10)(3) or Signal_grant(21)(3); --  Grant(8,3)
154Grant(81)  <= Signal_grant(11)(4) ;                      --  Grant(8,4)
155Grant(82)  <= Signal_grant(1)(5) or Signal_grant(12)(5); --  Grant(8,5)
156Grant(83)  <= Signal_grant(2)(6) or Signal_grant(13)(6); --  Grant(8,6)
157Grant(84)  <= Signal_grant(3)(7) or Signal_grant(14)(7); --  Grant(8,7)
158Grant(85)  <= Signal_grant(4)(8) or Signal_grant(15)(8); --  Grant(8,8)
159Grant(86)  <= Signal_grant(5)(9) or Signal_grant(16)(9); --  Grant(8,9)
160Grant(87)  <= Signal_grant(6)(10) or Signal_grant(17)(10); --  Grant(8,10)
161Grant(88)  <= Signal_grant(7)(11) or Signal_grant(18)(11); --  Grant(8,11)
162Grant(89)  <= Signal_grant(9)(1) or Signal_grant(20)(1); --  Grant(9,1)
163Grant(90)  <= Signal_grant(10)(2) or Signal_grant(21)(2); --  Grant(9,2)
164Grant(91)  <= Signal_grant(11)(3) ;                      --  Grant(9,3)
165Grant(92)  <= Signal_grant(1)(4) or Signal_grant(12)(4); --  Grant(9,4)
166Grant(93)  <= Signal_grant(2)(5) or Signal_grant(13)(5); --  Grant(9,5)
167Grant(94)  <= Signal_grant(3)(6) or Signal_grant(14)(6); --  Grant(9,6)
168Grant(95)  <= Signal_grant(4)(7) or Signal_grant(15)(7); --  Grant(9,7)
169Grant(96)  <= Signal_grant(5)(8) or Signal_grant(16)(8); --  Grant(9,8)
170Grant(97)  <= Signal_grant(6)(9) or Signal_grant(17)(9); --  Grant(9,9)
171Grant(98)  <= Signal_grant(7)(10) or Signal_grant(18)(10); --  Grant(9,10)
172Grant(99)  <= Signal_grant(8)(11) or Signal_grant(19)(11); --  Grant(9,11)
173Grant(100)  <= Signal_grant(10)(1) or Signal_grant(21)(1); --  Grant(10,1)
174Grant(101)  <= Signal_grant(11)(2) ;                      --  Grant(10,2)
175Grant(102)  <= Signal_grant(1)(3) or Signal_grant(12)(3); --  Grant(10,3)
176Grant(103)  <= Signal_grant(2)(4) or Signal_grant(13)(4); --  Grant(10,4)
177Grant(104)  <= Signal_grant(3)(5) or Signal_grant(14)(5); --  Grant(10,5)
178Grant(105)  <= Signal_grant(4)(6) or Signal_grant(15)(6); --  Grant(10,6)
179Grant(106)  <= Signal_grant(5)(7) or Signal_grant(16)(7); --  Grant(10,7)
180Grant(107)  <= Signal_grant(6)(8) or Signal_grant(17)(8); --  Grant(10,8)
181Grant(108)  <= Signal_grant(7)(9) or Signal_grant(18)(9); --  Grant(10,9)
182Grant(109)  <= Signal_grant(8)(10) or Signal_grant(19)(10); --  Grant(10,10)
183Grant(110)  <= Signal_grant(9)(11) or Signal_grant(20)(11); --  Grant(10,11)
184Grant(111)  <= Signal_grant(11)(1) ;                      --  Grant(11,1)
185Grant(112)  <= Signal_grant(1)(2) or Signal_grant(12)(2); --  Grant(11,2)
186Grant(113)  <= Signal_grant(2)(3) or Signal_grant(13)(3); --  Grant(11,3)
187Grant(114)  <= Signal_grant(3)(4) or Signal_grant(14)(4); --  Grant(11,4)
188Grant(115)  <= Signal_grant(4)(5) or Signal_grant(15)(5); --  Grant(11,5)
189Grant(116)  <= Signal_grant(5)(6) or Signal_grant(16)(6); --  Grant(11,6)
190Grant(117)  <= Signal_grant(6)(7) or Signal_grant(17)(7); --  Grant(11,7)
191Grant(118)  <= Signal_grant(7)(8) or Signal_grant(18)(8); --  Grant(11,8)
192Grant(119)  <= Signal_grant(8)(9) or Signal_grant(19)(9); --  Grant(11,9)
193Grant(120)  <= Signal_grant(9)(10) or Signal_grant(20)(10); --  Grant(11,10)
194Grant(121)  <= Signal_grant(10)(11) or Signal_grant(21)(11); --  Grant(11,11)
195High <= '1';
196
197----instantiations des cellules arbitres et interconnection
198
199-------------------------- Diagonale n° 1
200
201
202Arbiter_1_1 : Arbiter
203
204PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(1), 
205South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
206
207Arbiter_1_2 : Arbiter
208
209PORT MAP (Request => Request(112), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(2), 
210South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
211
212Arbiter_1_3 : Arbiter
213
214PORT MAP (Request => Request(102), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(3), 
215South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
216
217Arbiter_1_4 : Arbiter
218
219PORT MAP (Request => Request(92), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(4), 
220South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
221
222Arbiter_1_5 : Arbiter
223
224PORT MAP (Request => Request(82), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(5), 
225South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
226
227Arbiter_1_6 : Arbiter
228
229PORT MAP (Request => Request(72), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(6), 
230South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
231
232Arbiter_1_7 : Arbiter
233
234PORT MAP (Request => Request(62), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(7), 
235South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
236
237Arbiter_1_8 : Arbiter
238
239PORT MAP (Request => Request(52), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(8), 
240South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
241
242Arbiter_1_9 : Arbiter
243
244PORT MAP (Request => Request(42), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(9), 
245South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
246
247Arbiter_1_10 : Arbiter
248
249PORT MAP (Request => Request(32), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(10), 
250South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
251
252Arbiter_1_11 : Arbiter
253
254PORT MAP (Request => Request(22), North => High, West => High, P => Signal_priority(21), Fifo_full => Fifo_full(11), 
255South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
256
257-------------------------- Diagonale n° 2
258
259
260Arbiter_2_1 : Arbiter
261
262PORT MAP (Request => Request(12), North => south_2_north(1)(1), West => east_2_west(1)(11), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
263South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
264
265Arbiter_2_2 : Arbiter
266
267PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
268South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
269
270Arbiter_2_3 : Arbiter
271
272PORT MAP (Request => Request(113), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
273South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
274
275Arbiter_2_4 : Arbiter
276
277PORT MAP (Request => Request(103), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
278South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
279
280Arbiter_2_5 : Arbiter
281
282PORT MAP (Request => Request(93), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
283South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
284
285Arbiter_2_6 : Arbiter
286
287PORT MAP (Request => Request(83), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
288South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
289
290Arbiter_2_7 : Arbiter
291
292PORT MAP (Request => Request(73), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
293South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
294
295Arbiter_2_8 : Arbiter
296
297PORT MAP (Request => Request(63), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
298South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
299
300Arbiter_2_9 : Arbiter
301
302PORT MAP (Request => Request(53), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
303South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
304
305Arbiter_2_10 : Arbiter
306
307PORT MAP (Request => Request(43), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
308South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
309
310Arbiter_2_11 : Arbiter
311
312PORT MAP (Request => Request(33), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
313South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
314
315-------------------------- Diagonale n° 3
316
317
318Arbiter_3_1 : Arbiter
319
320PORT MAP (Request => Request(23), North => south_2_north(2)(1), West => east_2_west(2)(11), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
321South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
322
323Arbiter_3_2 : Arbiter
324
325PORT MAP (Request => Request(13), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
326South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
327
328Arbiter_3_3 : Arbiter
329
330PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
331South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
332
333Arbiter_3_4 : Arbiter
334
335PORT MAP (Request => Request(114), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
336South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
337
338Arbiter_3_5 : Arbiter
339
340PORT MAP (Request => Request(104), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
341South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
342
343Arbiter_3_6 : Arbiter
344
345PORT MAP (Request => Request(94), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
346South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
347
348Arbiter_3_7 : Arbiter
349
350PORT MAP (Request => Request(84), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
351South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
352
353Arbiter_3_8 : Arbiter
354
355PORT MAP (Request => Request(74), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
356South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
357
358Arbiter_3_9 : Arbiter
359
360PORT MAP (Request => Request(64), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
361South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
362
363Arbiter_3_10 : Arbiter
364
365PORT MAP (Request => Request(54), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
366South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
367
368Arbiter_3_11 : Arbiter
369
370PORT MAP (Request => Request(44), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
371South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
372
373-------------------------- Diagonale n° 4
374
375
376Arbiter_4_1 : Arbiter
377
378PORT MAP (Request => Request(34), North => south_2_north(3)(1), West => east_2_west(3)(11), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
379South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
380
381Arbiter_4_2 : Arbiter
382
383PORT MAP (Request => Request(24), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
384South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
385
386Arbiter_4_3 : Arbiter
387
388PORT MAP (Request => Request(14), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
389South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
390
391Arbiter_4_4 : Arbiter
392
393PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
394South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
395
396Arbiter_4_5 : Arbiter
397
398PORT MAP (Request => Request(115), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
399South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
400
401Arbiter_4_6 : Arbiter
402
403PORT MAP (Request => Request(105), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
404South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
405
406Arbiter_4_7 : Arbiter
407
408PORT MAP (Request => Request(95), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
409South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
410
411Arbiter_4_8 : Arbiter
412
413PORT MAP (Request => Request(85), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
414South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
415
416Arbiter_4_9 : Arbiter
417
418PORT MAP (Request => Request(75), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
419South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
420
421Arbiter_4_10 : Arbiter
422
423PORT MAP (Request => Request(65), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
424South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
425
426Arbiter_4_11 : Arbiter
427
428PORT MAP (Request => Request(55), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
429South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
430
431-------------------------- Diagonale n° 5
432
433
434Arbiter_5_1 : Arbiter
435
436PORT MAP (Request => Request(45), North => south_2_north(4)(1), West => east_2_west(4)(11), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
437South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
438
439Arbiter_5_2 : Arbiter
440
441PORT MAP (Request => Request(35), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
442South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
443
444Arbiter_5_3 : Arbiter
445
446PORT MAP (Request => Request(25), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
447South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
448
449Arbiter_5_4 : Arbiter
450
451PORT MAP (Request => Request(15), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
452South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
453
454Arbiter_5_5 : Arbiter
455
456PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
457South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
458
459Arbiter_5_6 : Arbiter
460
461PORT MAP (Request => Request(116), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
462South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
463
464Arbiter_5_7 : Arbiter
465
466PORT MAP (Request => Request(106), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
467South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
468
469Arbiter_5_8 : Arbiter
470
471PORT MAP (Request => Request(96), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
472South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
473
474Arbiter_5_9 : Arbiter
475
476PORT MAP (Request => Request(86), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
477South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
478
479Arbiter_5_10 : Arbiter
480
481PORT MAP (Request => Request(76), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
482South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
483
484Arbiter_5_11 : Arbiter
485
486PORT MAP (Request => Request(66), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
487South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
488
489-------------------------- Diagonale n° 6
490
491
492Arbiter_6_1 : Arbiter
493
494PORT MAP (Request => Request(56), North => south_2_north(5)(1), West => east_2_west(5)(11), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
495South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
496
497Arbiter_6_2 : Arbiter
498
499PORT MAP (Request => Request(46), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
500South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
501
502Arbiter_6_3 : Arbiter
503
504PORT MAP (Request => Request(36), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
505South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
506
507Arbiter_6_4 : Arbiter
508
509PORT MAP (Request => Request(26), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
510South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
511
512Arbiter_6_5 : Arbiter
513
514PORT MAP (Request => Request(16), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
515South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
516
517Arbiter_6_6 : Arbiter
518
519PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
520South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
521
522Arbiter_6_7 : Arbiter
523
524PORT MAP (Request => Request(117), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
525South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
526
527Arbiter_6_8 : Arbiter
528
529PORT MAP (Request => Request(107), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
530South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
531
532Arbiter_6_9 : Arbiter
533
534PORT MAP (Request => Request(97), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
535South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
536
537Arbiter_6_10 : Arbiter
538
539PORT MAP (Request => Request(87), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
540South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
541
542Arbiter_6_11 : Arbiter
543
544PORT MAP (Request => Request(77), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
545South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
546
547-------------------------- Diagonale n° 7
548
549
550Arbiter_7_1 : Arbiter
551
552PORT MAP (Request => Request(67), North => south_2_north(6)(1), West => east_2_west(6)(11), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
553South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
554
555Arbiter_7_2 : Arbiter
556
557PORT MAP (Request => Request(57), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
558South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
559
560Arbiter_7_3 : Arbiter
561
562PORT MAP (Request => Request(47), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
563South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
564
565Arbiter_7_4 : Arbiter
566
567PORT MAP (Request => Request(37), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
568South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
569
570Arbiter_7_5 : Arbiter
571
572PORT MAP (Request => Request(27), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
573South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
574
575Arbiter_7_6 : Arbiter
576
577PORT MAP (Request => Request(17), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
578South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
579
580Arbiter_7_7 : Arbiter
581
582PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
583South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
584
585Arbiter_7_8 : Arbiter
586
587PORT MAP (Request => Request(118), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
588South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
589
590Arbiter_7_9 : Arbiter
591
592PORT MAP (Request => Request(108), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
593South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
594
595Arbiter_7_10 : Arbiter
596
597PORT MAP (Request => Request(98), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
598South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
599
600Arbiter_7_11 : Arbiter
601
602PORT MAP (Request => Request(88), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
603South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
604
605-------------------------- Diagonale n° 8
606
607
608Arbiter_8_1 : Arbiter
609
610PORT MAP (Request => Request(78), North => south_2_north(7)(1), West => east_2_west(7)(11), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
611South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
612
613Arbiter_8_2 : Arbiter
614
615PORT MAP (Request => Request(68), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
616South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
617
618Arbiter_8_3 : Arbiter
619
620PORT MAP (Request => Request(58), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
621South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
622
623Arbiter_8_4 : Arbiter
624
625PORT MAP (Request => Request(48), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
626South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
627
628Arbiter_8_5 : Arbiter
629
630PORT MAP (Request => Request(38), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
631South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
632
633Arbiter_8_6 : Arbiter
634
635PORT MAP (Request => Request(28), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
636South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
637
638Arbiter_8_7 : Arbiter
639
640PORT MAP (Request => Request(18), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
641South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
642
643Arbiter_8_8 : Arbiter
644
645PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
646South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
647
648Arbiter_8_9 : Arbiter
649
650PORT MAP (Request => Request(119), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
651South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
652
653Arbiter_8_10 : Arbiter
654
655PORT MAP (Request => Request(109), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
656South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
657
658Arbiter_8_11 : Arbiter
659
660PORT MAP (Request => Request(99), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
661South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
662
663-------------------------- Diagonale n° 9
664
665
666Arbiter_9_1 : Arbiter
667
668PORT MAP (Request => Request(89), North => south_2_north(8)(1), West => east_2_west(8)(11), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
669South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
670
671Arbiter_9_2 : Arbiter
672
673PORT MAP (Request => Request(79), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
674South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
675
676Arbiter_9_3 : Arbiter
677
678PORT MAP (Request => Request(69), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
679South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
680
681Arbiter_9_4 : Arbiter
682
683PORT MAP (Request => Request(59), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
684South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
685
686Arbiter_9_5 : Arbiter
687
688PORT MAP (Request => Request(49), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
689South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
690
691Arbiter_9_6 : Arbiter
692
693PORT MAP (Request => Request(39), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
694South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
695
696Arbiter_9_7 : Arbiter
697
698PORT MAP (Request => Request(29), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
699South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
700
701Arbiter_9_8 : Arbiter
702
703PORT MAP (Request => Request(19), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
704South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
705
706Arbiter_9_9 : Arbiter
707
708PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
709South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
710
711Arbiter_9_10 : Arbiter
712
713PORT MAP (Request => Request(120), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
714South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
715
716Arbiter_9_11 : Arbiter
717
718PORT MAP (Request => Request(110), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
719South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
720
721-------------------------- Diagonale n° 10
722
723
724Arbiter_10_1 : Arbiter
725
726PORT MAP (Request => Request(100), North => south_2_north(9)(1), West => east_2_west(9)(11), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
727South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
728
729Arbiter_10_2 : Arbiter
730
731PORT MAP (Request => Request(90), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
732South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
733
734Arbiter_10_3 : Arbiter
735
736PORT MAP (Request => Request(80), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
737South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
738
739Arbiter_10_4 : Arbiter
740
741PORT MAP (Request => Request(70), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
742South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
743
744Arbiter_10_5 : Arbiter
745
746PORT MAP (Request => Request(60), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
747South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
748
749Arbiter_10_6 : Arbiter
750
751PORT MAP (Request => Request(50), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
752South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
753
754Arbiter_10_7 : Arbiter
755
756PORT MAP (Request => Request(40), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
757South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
758
759Arbiter_10_8 : Arbiter
760
761PORT MAP (Request => Request(30), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
762South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
763
764Arbiter_10_9 : Arbiter
765
766PORT MAP (Request => Request(20), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
767South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
768
769Arbiter_10_10 : Arbiter
770
771PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
772South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
773
774Arbiter_10_11 : Arbiter
775
776PORT MAP (Request => Request(121), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
777South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
778
779-------------------------- Diagonale n° 11
780
781
782Arbiter_11_1 : Arbiter
783
784PORT MAP (Request => Request(111), North => south_2_north(10)(1), West => east_2_west(10)(11), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
785South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
786
787Arbiter_11_2 : Arbiter
788
789PORT MAP (Request => Request(101), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
790South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
791
792Arbiter_11_3 : Arbiter
793
794PORT MAP (Request => Request(91), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
795South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
796
797Arbiter_11_4 : Arbiter
798
799PORT MAP (Request => Request(81), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
800South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
801
802Arbiter_11_5 : Arbiter
803
804PORT MAP (Request => Request(71), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
805South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
806
807Arbiter_11_6 : Arbiter
808
809PORT MAP (Request => Request(61), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
810South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
811
812Arbiter_11_7 : Arbiter
813
814PORT MAP (Request => Request(51), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
815South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
816
817Arbiter_11_8 : Arbiter
818
819PORT MAP (Request => Request(41), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
820South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
821
822Arbiter_11_9 : Arbiter
823
824PORT MAP (Request => Request(31), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
825South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
826
827Arbiter_11_10 : Arbiter
828
829PORT MAP (Request => Request(21), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
830South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
831
832Arbiter_11_11 : Arbiter
833
834PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
835South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
836
837-------------------------- Diagonale n° 12
838
839
840Arbiter_12_1 : Arbiter
841
842PORT MAP (Request => Request(1), North => south_2_north(11)(1), West => east_2_west(11)(11), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
843South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
844
845Arbiter_12_2 : Arbiter
846
847PORT MAP (Request => Request(112), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
848South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
849
850Arbiter_12_3 : Arbiter
851
852PORT MAP (Request => Request(102), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
853South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
854
855Arbiter_12_4 : Arbiter
856
857PORT MAP (Request => Request(92), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
858South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
859
860Arbiter_12_5 : Arbiter
861
862PORT MAP (Request => Request(82), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
863South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
864
865Arbiter_12_6 : Arbiter
866
867PORT MAP (Request => Request(72), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
868South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
869
870Arbiter_12_7 : Arbiter
871
872PORT MAP (Request => Request(62), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
873South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
874
875Arbiter_12_8 : Arbiter
876
877PORT MAP (Request => Request(52), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
878South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
879
880Arbiter_12_9 : Arbiter
881
882PORT MAP (Request => Request(42), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
883South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
884
885Arbiter_12_10 : Arbiter
886
887PORT MAP (Request => Request(32), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
888South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
889
890Arbiter_12_11 : Arbiter
891
892PORT MAP (Request => Request(22), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
893South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
894
895-------------------------- Diagonale n° 13
896
897
898Arbiter_13_1 : Arbiter
899
900PORT MAP (Request => Request(12), North => south_2_north(12)(1), West => east_2_west(12)(11), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
901South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
902
903Arbiter_13_2 : Arbiter
904
905PORT MAP (Request => Request(2), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
906South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
907
908Arbiter_13_3 : Arbiter
909
910PORT MAP (Request => Request(113), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
911South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
912
913Arbiter_13_4 : Arbiter
914
915PORT MAP (Request => Request(103), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
916South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
917
918Arbiter_13_5 : Arbiter
919
920PORT MAP (Request => Request(93), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
921South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
922
923Arbiter_13_6 : Arbiter
924
925PORT MAP (Request => Request(83), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
926South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
927
928Arbiter_13_7 : Arbiter
929
930PORT MAP (Request => Request(73), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
931South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
932
933Arbiter_13_8 : Arbiter
934
935PORT MAP (Request => Request(63), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
936South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
937
938Arbiter_13_9 : Arbiter
939
940PORT MAP (Request => Request(53), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
941South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
942
943Arbiter_13_10 : Arbiter
944
945PORT MAP (Request => Request(43), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
946South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
947
948Arbiter_13_11 : Arbiter
949
950PORT MAP (Request => Request(33), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
951South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
952
953-------------------------- Diagonale n° 14
954
955
956Arbiter_14_1 : Arbiter
957
958PORT MAP (Request => Request(23), North => south_2_north(13)(1), West => east_2_west(13)(11), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
959South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
960
961Arbiter_14_2 : Arbiter
962
963PORT MAP (Request => Request(13), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
964South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
965
966Arbiter_14_3 : Arbiter
967
968PORT MAP (Request => Request(3), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
969South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
970
971Arbiter_14_4 : Arbiter
972
973PORT MAP (Request => Request(114), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
974South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
975
976Arbiter_14_5 : Arbiter
977
978PORT MAP (Request => Request(104), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
979South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
980
981Arbiter_14_6 : Arbiter
982
983PORT MAP (Request => Request(94), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
984South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
985
986Arbiter_14_7 : Arbiter
987
988PORT MAP (Request => Request(84), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
989South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
990
991Arbiter_14_8 : Arbiter
992
993PORT MAP (Request => Request(74), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
994South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
995
996Arbiter_14_9 : Arbiter
997
998PORT MAP (Request => Request(64), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
999South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1000
1001Arbiter_14_10 : Arbiter
1002
1003PORT MAP (Request => Request(54), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
1004South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1005
1006Arbiter_14_11 : Arbiter
1007
1008PORT MAP (Request => Request(44), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
1009South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1010
1011-------------------------- Diagonale n° 15
1012
1013
1014Arbiter_15_1 : Arbiter
1015
1016PORT MAP (Request => Request(34), North => south_2_north(14)(1), West => east_2_west(14)(11), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
1017South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1018
1019Arbiter_15_2 : Arbiter
1020
1021PORT MAP (Request => Request(24), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
1022South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1023
1024Arbiter_15_3 : Arbiter
1025
1026PORT MAP (Request => Request(14), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
1027South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1028
1029Arbiter_15_4 : Arbiter
1030
1031PORT MAP (Request => Request(4), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
1032South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1033
1034Arbiter_15_5 : Arbiter
1035
1036PORT MAP (Request => Request(115), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
1037South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1038
1039Arbiter_15_6 : Arbiter
1040
1041PORT MAP (Request => Request(105), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
1042South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1043
1044Arbiter_15_7 : Arbiter
1045
1046PORT MAP (Request => Request(95), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
1047South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1048
1049Arbiter_15_8 : Arbiter
1050
1051PORT MAP (Request => Request(85), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
1052South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1053
1054Arbiter_15_9 : Arbiter
1055
1056PORT MAP (Request => Request(75), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
1057South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1058
1059Arbiter_15_10 : Arbiter
1060
1061PORT MAP (Request => Request(65), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
1062South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1063
1064Arbiter_15_11 : Arbiter
1065
1066PORT MAP (Request => Request(55), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
1067South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1068
1069-------------------------- Diagonale n° 16
1070
1071
1072Arbiter_16_1 : Arbiter
1073
1074PORT MAP (Request => Request(45), North => south_2_north(15)(1), West => east_2_west(15)(11), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
1075South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1076
1077Arbiter_16_2 : Arbiter
1078
1079PORT MAP (Request => Request(35), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
1080South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1081
1082Arbiter_16_3 : Arbiter
1083
1084PORT MAP (Request => Request(25), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
1085South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1086
1087Arbiter_16_4 : Arbiter
1088
1089PORT MAP (Request => Request(15), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
1090South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1091
1092Arbiter_16_5 : Arbiter
1093
1094PORT MAP (Request => Request(5), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
1095South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1096
1097Arbiter_16_6 : Arbiter
1098
1099PORT MAP (Request => Request(116), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
1100South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1101
1102Arbiter_16_7 : Arbiter
1103
1104PORT MAP (Request => Request(106), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
1105South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1106
1107Arbiter_16_8 : Arbiter
1108
1109PORT MAP (Request => Request(96), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
1110South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1111
1112Arbiter_16_9 : Arbiter
1113
1114PORT MAP (Request => Request(86), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
1115South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1116
1117Arbiter_16_10 : Arbiter
1118
1119PORT MAP (Request => Request(76), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
1120South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1121
1122Arbiter_16_11 : Arbiter
1123
1124PORT MAP (Request => Request(66), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
1125South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1126
1127-------------------------- Diagonale n° 17
1128
1129
1130Arbiter_17_1 : Arbiter
1131
1132PORT MAP (Request => Request(56), North => south_2_north(16)(1), West => east_2_west(16)(11), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
1133South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1134
1135Arbiter_17_2 : Arbiter
1136
1137PORT MAP (Request => Request(46), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
1138South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1139
1140Arbiter_17_3 : Arbiter
1141
1142PORT MAP (Request => Request(36), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
1143South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1144
1145Arbiter_17_4 : Arbiter
1146
1147PORT MAP (Request => Request(26), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
1148South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1149
1150Arbiter_17_5 : Arbiter
1151
1152PORT MAP (Request => Request(16), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
1153South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1154
1155Arbiter_17_6 : Arbiter
1156
1157PORT MAP (Request => Request(6), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
1158South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1159
1160Arbiter_17_7 : Arbiter
1161
1162PORT MAP (Request => Request(117), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
1163South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1164
1165Arbiter_17_8 : Arbiter
1166
1167PORT MAP (Request => Request(107), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
1168South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1169
1170Arbiter_17_9 : Arbiter
1171
1172PORT MAP (Request => Request(97), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
1173South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1174
1175Arbiter_17_10 : Arbiter
1176
1177PORT MAP (Request => Request(87), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
1178South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1179
1180Arbiter_17_11 : Arbiter
1181
1182PORT MAP (Request => Request(77), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
1183South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1184
1185-------------------------- Diagonale n° 18
1186
1187
1188Arbiter_18_1 : Arbiter
1189
1190PORT MAP (Request => Request(67), North => south_2_north(17)(1), West => east_2_west(17)(11), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
1191South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1192
1193Arbiter_18_2 : Arbiter
1194
1195PORT MAP (Request => Request(57), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
1196South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1197
1198Arbiter_18_3 : Arbiter
1199
1200PORT MAP (Request => Request(47), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
1201South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1202
1203Arbiter_18_4 : Arbiter
1204
1205PORT MAP (Request => Request(37), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
1206South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1207
1208Arbiter_18_5 : Arbiter
1209
1210PORT MAP (Request => Request(27), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
1211South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1212
1213Arbiter_18_6 : Arbiter
1214
1215PORT MAP (Request => Request(17), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
1216South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1217
1218Arbiter_18_7 : Arbiter
1219
1220PORT MAP (Request => Request(7), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
1221South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1222
1223Arbiter_18_8 : Arbiter
1224
1225PORT MAP (Request => Request(118), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
1226South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1227
1228Arbiter_18_9 : Arbiter
1229
1230PORT MAP (Request => Request(108), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
1231South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1232
1233Arbiter_18_10 : Arbiter
1234
1235PORT MAP (Request => Request(98), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
1236South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1237
1238Arbiter_18_11 : Arbiter
1239
1240PORT MAP (Request => Request(88), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
1241South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1242
1243-------------------------- Diagonale n° 19
1244
1245
1246Arbiter_19_1 : Arbiter
1247
1248PORT MAP (Request => Request(78), North => south_2_north(18)(1), West => east_2_west(18)(11), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
1249South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1250
1251Arbiter_19_2 : Arbiter
1252
1253PORT MAP (Request => Request(68), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
1254South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1255
1256Arbiter_19_3 : Arbiter
1257
1258PORT MAP (Request => Request(58), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
1259South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1260
1261Arbiter_19_4 : Arbiter
1262
1263PORT MAP (Request => Request(48), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
1264South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1265
1266Arbiter_19_5 : Arbiter
1267
1268PORT MAP (Request => Request(38), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
1269South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1270
1271Arbiter_19_6 : Arbiter
1272
1273PORT MAP (Request => Request(28), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
1274South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1275
1276Arbiter_19_7 : Arbiter
1277
1278PORT MAP (Request => Request(18), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
1279South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1280
1281Arbiter_19_8 : Arbiter
1282
1283PORT MAP (Request => Request(8), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
1284South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1285
1286Arbiter_19_9 : Arbiter
1287
1288PORT MAP (Request => Request(119), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
1289South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1290
1291Arbiter_19_10 : Arbiter
1292
1293PORT MAP (Request => Request(109), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
1294South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1295
1296Arbiter_19_11 : Arbiter
1297
1298PORT MAP (Request => Request(99), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
1299South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1300
1301-------------------------- Diagonale n° 20
1302
1303
1304Arbiter_20_1 : Arbiter
1305
1306PORT MAP (Request => Request(89), North => south_2_north(19)(1), West => east_2_west(19)(11), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
1307South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1308
1309Arbiter_20_2 : Arbiter
1310
1311PORT MAP (Request => Request(79), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
1312South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1313
1314Arbiter_20_3 : Arbiter
1315
1316PORT MAP (Request => Request(69), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
1317South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1318
1319Arbiter_20_4 : Arbiter
1320
1321PORT MAP (Request => Request(59), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
1322South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1323
1324Arbiter_20_5 : Arbiter
1325
1326PORT MAP (Request => Request(49), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
1327South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1328
1329Arbiter_20_6 : Arbiter
1330
1331PORT MAP (Request => Request(39), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
1332South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1333
1334Arbiter_20_7 : Arbiter
1335
1336PORT MAP (Request => Request(29), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
1337South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1338
1339Arbiter_20_8 : Arbiter
1340
1341PORT MAP (Request => Request(19), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
1342South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1343
1344Arbiter_20_9 : Arbiter
1345
1346PORT MAP (Request => Request(9), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
1347South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1348
1349Arbiter_20_10 : Arbiter
1350
1351PORT MAP (Request => Request(120), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
1352South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1353
1354Arbiter_20_11 : Arbiter
1355
1356PORT MAP (Request => Request(110), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
1357South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1358
1359-------------------------- Diagonale n° 21
1360
1361
1362Arbiter_21_1 : Arbiter
1363
1364PORT MAP (Request => Request(100), North => south_2_north(20)(1), West => east_2_west(20)(11), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
1365South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
1366
1367Arbiter_21_2 : Arbiter
1368
1369PORT MAP (Request => Request(90), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
1370South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
1371
1372Arbiter_21_3 : Arbiter
1373
1374PORT MAP (Request => Request(80), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
1375South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
1376
1377Arbiter_21_4 : Arbiter
1378
1379PORT MAP (Request => Request(70), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
1380South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
1381
1382Arbiter_21_5 : Arbiter
1383
1384PORT MAP (Request => Request(60), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
1385South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
1386
1387Arbiter_21_6 : Arbiter
1388
1389PORT MAP (Request => Request(50), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
1390South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
1391
1392Arbiter_21_7 : Arbiter
1393
1394PORT MAP (Request => Request(40), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
1395South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
1396
1397Arbiter_21_8 : Arbiter
1398
1399PORT MAP (Request => Request(30), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
1400South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
1401
1402Arbiter_21_9 : Arbiter
1403
1404PORT MAP (Request => Request(20), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
1405South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
1406
1407Arbiter_21_10 : Arbiter
1408
1409PORT MAP (Request => Request(10), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
1410South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
1411
1412Arbiter_21_11 : Arbiter
1413
1414PORT MAP (Request => Request(121), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
1415South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
1416
1417
1418--processus permettant de roter la priorité des diagonales à chaque front d'horloge
1419 -- rotation round robin
1420         round_robin : process(clk)
1421        begin
1422                if rising_edge(clk) then
1423                 if reset ='1' then
1424                    Signal_priority <= "111111111110000000000";
1425                  elsif priority_rotation_en = '1' then
1426                    case Signal_priority is
1427                       when "111111111110000000000" => Signal_priority <= "011111111111000000000";
1428                       when "011111111111000000000" => Signal_priority <= "001111111111100000000";
1429                       when "001111111111100000000" => Signal_priority <= "000111111111110000000";
1430                       when "000111111111110000000" => Signal_priority <= "000011111111111000000";
1431                       when "000011111111111000000" => Signal_priority <= "000001111111111100000";
1432                       when "000001111111111100000" => Signal_priority <= "000000111111111110000";
1433                       when "000000111111111110000" => Signal_priority <= "000000011111111111000";
1434                       when "000000011111111111000" => Signal_priority <= "000000001111111111100";
1435                       when "000000001111111111100" => Signal_priority <= "000000000111111111110";
1436                       when "000000000111111111110" => Signal_priority <= "000000000011111111111";
1437                       when "000000000011111111111" => Signal_priority <= "111111111110000000000";
1438                       when others    => Signal_priority <= "111111111110000000000";
1439                  end case;
1440                 end if;
1441             end if;
1442         end process;
1443
1444end Behavioral;
1445
Note: See TracBrowser for help on using the repository browser.