source: PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0/Test_Timer/ipcore_dir/mem8k8_ste/implement/xst.scr @ 139

Last change on this file since 139 was 139, checked in by rolagamo, 10 years ago

Ceci est la version 16 bits de la plateforme ainsi que la version hierarchique du NoCNoC

File size: 217 bytes
Line 
1run
2-ifmt VHDL
3-ent mem8k8_top
4-p xc7a100t-csg324-3
5-ifn xst.prj
6-write_timing_constraints No
7-iobuf YES
8-max_fanout 100
9-ofn mem8k8_top
10-ofmt NGC
11-bus_delimiter ()
12-hierarchy_separator /
13-case Maintain
Note: See TracBrowser for help on using the repository browser.