source: PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.1/CORE_MPI/DEMUX1.vhd @ 142

Last change on this file since 142 was 142, checked in by rolagamo, 10 years ago
File size: 1.1 KB
Line 
1----------------------------------------------------------------------------------
2-- Company:
3-- Engineer:
4--
5-- Create Date:    09:35:09 06/13/2011
6-- Design Name:
7-- Module Name:    DEMUX1 - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description:
12--
13-- Dependencies:
14--
15-- Revision:
16-- Revision 0.01 - File Created
17-- Additional Comments:
18--
19----------------------------------------------------------------------------------
20library IEEE;
21use IEEE.STD_LOGIC_1164.ALL;
22use IEEE.STD_LOGIC_ARITH.ALL;
23use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
25---- Uncomment the following library declaration if instantiating
26---- any Xilinx primitives in this code.
27--library UNISIM;
28--use UNISIM.VComponents.all;
29
30entity CDEMUX1 is
31    Port ( di : in  STD_LOGIC;
32           sel : in  STD_LOGIC;
33           do1 : out  STD_LOGIC;
34           do2 : out  STD_LOGIC);
35end CDEMUX1;
36
37architecture Behavioral of CDEMUX1 is
38
39begin
40
41demux_process : process(di, sel)
42begin
43  if sel = '0' then
44     do1 <= di;
45          do2 <= '0';
46  else
47     do2 <= di;
48          do1 <='0';
49  end if;
50end process;
51
52
53end Behavioral;
54
Note: See TracBrowser for help on using the repository browser.