source: PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.1/NOC/SCHEDULER16_16.VHD @ 143

Last change on this file since 143 was 143, checked in by rolagamo, 10 years ago
File size: 156.6 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler16_16 is
32    Port ( Request : in  STD_LOGIC_VECTOR (256 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (16 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (16 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (256 downto 1));
38end Scheduler16_16;
39
40architecture Behavioral of Scheduler16_16 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(31 downto 1) of STD_LOGIC_VECTOR(16 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (31 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(256 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant,req_grant :  std_logic_vector(256 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61req_grant<=(request and grant_latch);
62 priority_rotation_en <= '1' when unsigned(req_grant) = 0 or unsigned(priority_rotation) = 65535 else   '0';
63--latch servant qui memorise le signal grant pendant a transmission
64grant_latch_process : process(clk)
65 begin
66  if rising_edge(clk) then
67   if reset = '1' then
68                grant_latch <= (others => '0');
69         elsif priority_rotation_en = '1' or unsigned(Grant_latch)=0  then
70           grant_latch <= Grant;
71   end if;
72   end if;
73 end process;
74 port_grant <= grant_latch;
75 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(17)(1); --  Grant(1,1)
76Grant(2)  <= Signal_grant(2)(2) or Signal_grant(18)(2); --  Grant(1,2)
77Grant(3)  <= Signal_grant(3)(3) or Signal_grant(19)(3); --  Grant(1,3)
78Grant(4)  <= Signal_grant(4)(4) or Signal_grant(20)(4); --  Grant(1,4)
79Grant(5)  <= Signal_grant(5)(5) or Signal_grant(21)(5); --  Grant(1,5)
80Grant(6)  <= Signal_grant(6)(6) or Signal_grant(22)(6); --  Grant(1,6)
81Grant(7)  <= Signal_grant(7)(7) or Signal_grant(23)(7); --  Grant(1,7)
82Grant(8)  <= Signal_grant(8)(8) or Signal_grant(24)(8); --  Grant(1,8)
83Grant(9)  <= Signal_grant(9)(9) or Signal_grant(25)(9); --  Grant(1,9)
84Grant(10)  <= Signal_grant(10)(10) or Signal_grant(26)(10); --  Grant(1,10)
85Grant(11)  <= Signal_grant(11)(11) or Signal_grant(27)(11); --  Grant(1,11)
86Grant(12)  <= Signal_grant(12)(12) or Signal_grant(28)(12); --  Grant(1,12)
87Grant(13)  <= Signal_grant(13)(13) or Signal_grant(29)(13); --  Grant(1,13)
88Grant(14)  <= Signal_grant(14)(14) or Signal_grant(30)(14); --  Grant(1,14)
89Grant(15)  <= Signal_grant(15)(15) or Signal_grant(31)(15); --  Grant(1,15)
90Grant(16)  <= Signal_grant(16)(16) ;                      --  Grant(1,16)
91Grant(17)  <= Signal_grant(2)(1) or Signal_grant(18)(1); --  Grant(2,1)
92Grant(18)  <= Signal_grant(3)(2) or Signal_grant(19)(2); --  Grant(2,2)
93Grant(19)  <= Signal_grant(4)(3) or Signal_grant(20)(3); --  Grant(2,3)
94Grant(20)  <= Signal_grant(5)(4) or Signal_grant(21)(4); --  Grant(2,4)
95Grant(21)  <= Signal_grant(6)(5) or Signal_grant(22)(5); --  Grant(2,5)
96Grant(22)  <= Signal_grant(7)(6) or Signal_grant(23)(6); --  Grant(2,6)
97Grant(23)  <= Signal_grant(8)(7) or Signal_grant(24)(7); --  Grant(2,7)
98Grant(24)  <= Signal_grant(9)(8) or Signal_grant(25)(8); --  Grant(2,8)
99Grant(25)  <= Signal_grant(10)(9) or Signal_grant(26)(9); --  Grant(2,9)
100Grant(26)  <= Signal_grant(11)(10) or Signal_grant(27)(10); --  Grant(2,10)
101Grant(27)  <= Signal_grant(12)(11) or Signal_grant(28)(11); --  Grant(2,11)
102Grant(28)  <= Signal_grant(13)(12) or Signal_grant(29)(12); --  Grant(2,12)
103Grant(29)  <= Signal_grant(14)(13) or Signal_grant(30)(13); --  Grant(2,13)
104Grant(30)  <= Signal_grant(15)(14) or Signal_grant(31)(14); --  Grant(2,14)
105Grant(31)  <= Signal_grant(16)(15) ;                      --  Grant(2,15)
106Grant(32)  <= Signal_grant(1)(16) or Signal_grant(17)(16); --  Grant(2,16)
107Grant(33)  <= Signal_grant(3)(1) or Signal_grant(19)(1); --  Grant(3,1)
108Grant(34)  <= Signal_grant(4)(2) or Signal_grant(20)(2); --  Grant(3,2)
109Grant(35)  <= Signal_grant(5)(3) or Signal_grant(21)(3); --  Grant(3,3)
110Grant(36)  <= Signal_grant(6)(4) or Signal_grant(22)(4); --  Grant(3,4)
111Grant(37)  <= Signal_grant(7)(5) or Signal_grant(23)(5); --  Grant(3,5)
112Grant(38)  <= Signal_grant(8)(6) or Signal_grant(24)(6); --  Grant(3,6)
113Grant(39)  <= Signal_grant(9)(7) or Signal_grant(25)(7); --  Grant(3,7)
114Grant(40)  <= Signal_grant(10)(8) or Signal_grant(26)(8); --  Grant(3,8)
115Grant(41)  <= Signal_grant(11)(9) or Signal_grant(27)(9); --  Grant(3,9)
116Grant(42)  <= Signal_grant(12)(10) or Signal_grant(28)(10); --  Grant(3,10)
117Grant(43)  <= Signal_grant(13)(11) or Signal_grant(29)(11); --  Grant(3,11)
118Grant(44)  <= Signal_grant(14)(12) or Signal_grant(30)(12); --  Grant(3,12)
119Grant(45)  <= Signal_grant(15)(13) or Signal_grant(31)(13); --  Grant(3,13)
120Grant(46)  <= Signal_grant(16)(14) ;                      --  Grant(3,14)
121Grant(47)  <= Signal_grant(1)(15) or Signal_grant(17)(15); --  Grant(3,15)
122Grant(48)  <= Signal_grant(2)(16) or Signal_grant(18)(16); --  Grant(3,16)
123Grant(49)  <= Signal_grant(4)(1) or Signal_grant(20)(1); --  Grant(4,1)
124Grant(50)  <= Signal_grant(5)(2) or Signal_grant(21)(2); --  Grant(4,2)
125Grant(51)  <= Signal_grant(6)(3) or Signal_grant(22)(3); --  Grant(4,3)
126Grant(52)  <= Signal_grant(7)(4) or Signal_grant(23)(4); --  Grant(4,4)
127Grant(53)  <= Signal_grant(8)(5) or Signal_grant(24)(5); --  Grant(4,5)
128Grant(54)  <= Signal_grant(9)(6) or Signal_grant(25)(6); --  Grant(4,6)
129Grant(55)  <= Signal_grant(10)(7) or Signal_grant(26)(7); --  Grant(4,7)
130Grant(56)  <= Signal_grant(11)(8) or Signal_grant(27)(8); --  Grant(4,8)
131Grant(57)  <= Signal_grant(12)(9) or Signal_grant(28)(9); --  Grant(4,9)
132Grant(58)  <= Signal_grant(13)(10) or Signal_grant(29)(10); --  Grant(4,10)
133Grant(59)  <= Signal_grant(14)(11) or Signal_grant(30)(11); --  Grant(4,11)
134Grant(60)  <= Signal_grant(15)(12) or Signal_grant(31)(12); --  Grant(4,12)
135Grant(61)  <= Signal_grant(16)(13) ;                      --  Grant(4,13)
136Grant(62)  <= Signal_grant(1)(14) or Signal_grant(17)(14); --  Grant(4,14)
137Grant(63)  <= Signal_grant(2)(15) or Signal_grant(18)(15); --  Grant(4,15)
138Grant(64)  <= Signal_grant(3)(16) or Signal_grant(19)(16); --  Grant(4,16)
139Grant(65)  <= Signal_grant(5)(1) or Signal_grant(21)(1); --  Grant(5,1)
140Grant(66)  <= Signal_grant(6)(2) or Signal_grant(22)(2); --  Grant(5,2)
141Grant(67)  <= Signal_grant(7)(3) or Signal_grant(23)(3); --  Grant(5,3)
142Grant(68)  <= Signal_grant(8)(4) or Signal_grant(24)(4); --  Grant(5,4)
143Grant(69)  <= Signal_grant(9)(5) or Signal_grant(25)(5); --  Grant(5,5)
144Grant(70)  <= Signal_grant(10)(6) or Signal_grant(26)(6); --  Grant(5,6)
145Grant(71)  <= Signal_grant(11)(7) or Signal_grant(27)(7); --  Grant(5,7)
146Grant(72)  <= Signal_grant(12)(8) or Signal_grant(28)(8); --  Grant(5,8)
147Grant(73)  <= Signal_grant(13)(9) or Signal_grant(29)(9); --  Grant(5,9)
148Grant(74)  <= Signal_grant(14)(10) or Signal_grant(30)(10); --  Grant(5,10)
149Grant(75)  <= Signal_grant(15)(11) or Signal_grant(31)(11); --  Grant(5,11)
150Grant(76)  <= Signal_grant(16)(12) ;                      --  Grant(5,12)
151Grant(77)  <= Signal_grant(1)(13) or Signal_grant(17)(13); --  Grant(5,13)
152Grant(78)  <= Signal_grant(2)(14) or Signal_grant(18)(14); --  Grant(5,14)
153Grant(79)  <= Signal_grant(3)(15) or Signal_grant(19)(15); --  Grant(5,15)
154Grant(80)  <= Signal_grant(4)(16) or Signal_grant(20)(16); --  Grant(5,16)
155Grant(81)  <= Signal_grant(6)(1) or Signal_grant(22)(1); --  Grant(6,1)
156Grant(82)  <= Signal_grant(7)(2) or Signal_grant(23)(2); --  Grant(6,2)
157Grant(83)  <= Signal_grant(8)(3) or Signal_grant(24)(3); --  Grant(6,3)
158Grant(84)  <= Signal_grant(9)(4) or Signal_grant(25)(4); --  Grant(6,4)
159Grant(85)  <= Signal_grant(10)(5) or Signal_grant(26)(5); --  Grant(6,5)
160Grant(86)  <= Signal_grant(11)(6) or Signal_grant(27)(6); --  Grant(6,6)
161Grant(87)  <= Signal_grant(12)(7) or Signal_grant(28)(7); --  Grant(6,7)
162Grant(88)  <= Signal_grant(13)(8) or Signal_grant(29)(8); --  Grant(6,8)
163Grant(89)  <= Signal_grant(14)(9) or Signal_grant(30)(9); --  Grant(6,9)
164Grant(90)  <= Signal_grant(15)(10) or Signal_grant(31)(10); --  Grant(6,10)
165Grant(91)  <= Signal_grant(16)(11) ;                      --  Grant(6,11)
166Grant(92)  <= Signal_grant(1)(12) or Signal_grant(17)(12); --  Grant(6,12)
167Grant(93)  <= Signal_grant(2)(13) or Signal_grant(18)(13); --  Grant(6,13)
168Grant(94)  <= Signal_grant(3)(14) or Signal_grant(19)(14); --  Grant(6,14)
169Grant(95)  <= Signal_grant(4)(15) or Signal_grant(20)(15); --  Grant(6,15)
170Grant(96)  <= Signal_grant(5)(16) or Signal_grant(21)(16); --  Grant(6,16)
171Grant(97)  <= Signal_grant(7)(1) or Signal_grant(23)(1); --  Grant(7,1)
172Grant(98)  <= Signal_grant(8)(2) or Signal_grant(24)(2); --  Grant(7,2)
173Grant(99)  <= Signal_grant(9)(3) or Signal_grant(25)(3); --  Grant(7,3)
174Grant(100)  <= Signal_grant(10)(4) or Signal_grant(26)(4); --  Grant(7,4)
175Grant(101)  <= Signal_grant(11)(5) or Signal_grant(27)(5); --  Grant(7,5)
176Grant(102)  <= Signal_grant(12)(6) or Signal_grant(28)(6); --  Grant(7,6)
177Grant(103)  <= Signal_grant(13)(7) or Signal_grant(29)(7); --  Grant(7,7)
178Grant(104)  <= Signal_grant(14)(8) or Signal_grant(30)(8); --  Grant(7,8)
179Grant(105)  <= Signal_grant(15)(9) or Signal_grant(31)(9); --  Grant(7,9)
180Grant(106)  <= Signal_grant(16)(10) ;                      --  Grant(7,10)
181Grant(107)  <= Signal_grant(1)(11) or Signal_grant(17)(11); --  Grant(7,11)
182Grant(108)  <= Signal_grant(2)(12) or Signal_grant(18)(12); --  Grant(7,12)
183Grant(109)  <= Signal_grant(3)(13) or Signal_grant(19)(13); --  Grant(7,13)
184Grant(110)  <= Signal_grant(4)(14) or Signal_grant(20)(14); --  Grant(7,14)
185Grant(111)  <= Signal_grant(5)(15) or Signal_grant(21)(15); --  Grant(7,15)
186Grant(112)  <= Signal_grant(6)(16) or Signal_grant(22)(16); --  Grant(7,16)
187Grant(113)  <= Signal_grant(8)(1) or Signal_grant(24)(1); --  Grant(8,1)
188Grant(114)  <= Signal_grant(9)(2) or Signal_grant(25)(2); --  Grant(8,2)
189Grant(115)  <= Signal_grant(10)(3) or Signal_grant(26)(3); --  Grant(8,3)
190Grant(116)  <= Signal_grant(11)(4) or Signal_grant(27)(4); --  Grant(8,4)
191Grant(117)  <= Signal_grant(12)(5) or Signal_grant(28)(5); --  Grant(8,5)
192Grant(118)  <= Signal_grant(13)(6) or Signal_grant(29)(6); --  Grant(8,6)
193Grant(119)  <= Signal_grant(14)(7) or Signal_grant(30)(7); --  Grant(8,7)
194Grant(120)  <= Signal_grant(15)(8) or Signal_grant(31)(8); --  Grant(8,8)
195Grant(121)  <= Signal_grant(16)(9) ;                      --  Grant(8,9)
196Grant(122)  <= Signal_grant(1)(10) or Signal_grant(17)(10); --  Grant(8,10)
197Grant(123)  <= Signal_grant(2)(11) or Signal_grant(18)(11); --  Grant(8,11)
198Grant(124)  <= Signal_grant(3)(12) or Signal_grant(19)(12); --  Grant(8,12)
199Grant(125)  <= Signal_grant(4)(13) or Signal_grant(20)(13); --  Grant(8,13)
200Grant(126)  <= Signal_grant(5)(14) or Signal_grant(21)(14); --  Grant(8,14)
201Grant(127)  <= Signal_grant(6)(15) or Signal_grant(22)(15); --  Grant(8,15)
202Grant(128)  <= Signal_grant(7)(16) or Signal_grant(23)(16); --  Grant(8,16)
203Grant(129)  <= Signal_grant(9)(1) or Signal_grant(25)(1); --  Grant(9,1)
204Grant(130)  <= Signal_grant(10)(2) or Signal_grant(26)(2); --  Grant(9,2)
205Grant(131)  <= Signal_grant(11)(3) or Signal_grant(27)(3); --  Grant(9,3)
206Grant(132)  <= Signal_grant(12)(4) or Signal_grant(28)(4); --  Grant(9,4)
207Grant(133)  <= Signal_grant(13)(5) or Signal_grant(29)(5); --  Grant(9,5)
208Grant(134)  <= Signal_grant(14)(6) or Signal_grant(30)(6); --  Grant(9,6)
209Grant(135)  <= Signal_grant(15)(7) or Signal_grant(31)(7); --  Grant(9,7)
210Grant(136)  <= Signal_grant(16)(8) ;                      --  Grant(9,8)
211Grant(137)  <= Signal_grant(1)(9) or Signal_grant(17)(9); --  Grant(9,9)
212Grant(138)  <= Signal_grant(2)(10) or Signal_grant(18)(10); --  Grant(9,10)
213Grant(139)  <= Signal_grant(3)(11) or Signal_grant(19)(11); --  Grant(9,11)
214Grant(140)  <= Signal_grant(4)(12) or Signal_grant(20)(12); --  Grant(9,12)
215Grant(141)  <= Signal_grant(5)(13) or Signal_grant(21)(13); --  Grant(9,13)
216Grant(142)  <= Signal_grant(6)(14) or Signal_grant(22)(14); --  Grant(9,14)
217Grant(143)  <= Signal_grant(7)(15) or Signal_grant(23)(15); --  Grant(9,15)
218Grant(144)  <= Signal_grant(8)(16) or Signal_grant(24)(16); --  Grant(9,16)
219Grant(145)  <= Signal_grant(10)(1) or Signal_grant(26)(1); --  Grant(10,1)
220Grant(146)  <= Signal_grant(11)(2) or Signal_grant(27)(2); --  Grant(10,2)
221Grant(147)  <= Signal_grant(12)(3) or Signal_grant(28)(3); --  Grant(10,3)
222Grant(148)  <= Signal_grant(13)(4) or Signal_grant(29)(4); --  Grant(10,4)
223Grant(149)  <= Signal_grant(14)(5) or Signal_grant(30)(5); --  Grant(10,5)
224Grant(150)  <= Signal_grant(15)(6) or Signal_grant(31)(6); --  Grant(10,6)
225Grant(151)  <= Signal_grant(16)(7) ;                      --  Grant(10,7)
226Grant(152)  <= Signal_grant(1)(8) or Signal_grant(17)(8); --  Grant(10,8)
227Grant(153)  <= Signal_grant(2)(9) or Signal_grant(18)(9); --  Grant(10,9)
228Grant(154)  <= Signal_grant(3)(10) or Signal_grant(19)(10); --  Grant(10,10)
229Grant(155)  <= Signal_grant(4)(11) or Signal_grant(20)(11); --  Grant(10,11)
230Grant(156)  <= Signal_grant(5)(12) or Signal_grant(21)(12); --  Grant(10,12)
231Grant(157)  <= Signal_grant(6)(13) or Signal_grant(22)(13); --  Grant(10,13)
232Grant(158)  <= Signal_grant(7)(14) or Signal_grant(23)(14); --  Grant(10,14)
233Grant(159)  <= Signal_grant(8)(15) or Signal_grant(24)(15); --  Grant(10,15)
234Grant(160)  <= Signal_grant(9)(16) or Signal_grant(25)(16); --  Grant(10,16)
235Grant(161)  <= Signal_grant(11)(1) or Signal_grant(27)(1); --  Grant(11,1)
236Grant(162)  <= Signal_grant(12)(2) or Signal_grant(28)(2); --  Grant(11,2)
237Grant(163)  <= Signal_grant(13)(3) or Signal_grant(29)(3); --  Grant(11,3)
238Grant(164)  <= Signal_grant(14)(4) or Signal_grant(30)(4); --  Grant(11,4)
239Grant(165)  <= Signal_grant(15)(5) or Signal_grant(31)(5); --  Grant(11,5)
240Grant(166)  <= Signal_grant(16)(6) ;                      --  Grant(11,6)
241Grant(167)  <= Signal_grant(1)(7) or Signal_grant(17)(7); --  Grant(11,7)
242Grant(168)  <= Signal_grant(2)(8) or Signal_grant(18)(8); --  Grant(11,8)
243Grant(169)  <= Signal_grant(3)(9) or Signal_grant(19)(9); --  Grant(11,9)
244Grant(170)  <= Signal_grant(4)(10) or Signal_grant(20)(10); --  Grant(11,10)
245Grant(171)  <= Signal_grant(5)(11) or Signal_grant(21)(11); --  Grant(11,11)
246Grant(172)  <= Signal_grant(6)(12) or Signal_grant(22)(12); --  Grant(11,12)
247Grant(173)  <= Signal_grant(7)(13) or Signal_grant(23)(13); --  Grant(11,13)
248Grant(174)  <= Signal_grant(8)(14) or Signal_grant(24)(14); --  Grant(11,14)
249Grant(175)  <= Signal_grant(9)(15) or Signal_grant(25)(15); --  Grant(11,15)
250Grant(176)  <= Signal_grant(10)(16) or Signal_grant(26)(16); --  Grant(11,16)
251Grant(177)  <= Signal_grant(12)(1) or Signal_grant(28)(1); --  Grant(12,1)
252Grant(178)  <= Signal_grant(13)(2) or Signal_grant(29)(2); --  Grant(12,2)
253Grant(179)  <= Signal_grant(14)(3) or Signal_grant(30)(3); --  Grant(12,3)
254Grant(180)  <= Signal_grant(15)(4) or Signal_grant(31)(4); --  Grant(12,4)
255Grant(181)  <= Signal_grant(16)(5) ;                      --  Grant(12,5)
256Grant(182)  <= Signal_grant(1)(6) or Signal_grant(17)(6); --  Grant(12,6)
257Grant(183)  <= Signal_grant(2)(7) or Signal_grant(18)(7); --  Grant(12,7)
258Grant(184)  <= Signal_grant(3)(8) or Signal_grant(19)(8); --  Grant(12,8)
259Grant(185)  <= Signal_grant(4)(9) or Signal_grant(20)(9); --  Grant(12,9)
260Grant(186)  <= Signal_grant(5)(10) or Signal_grant(21)(10); --  Grant(12,10)
261Grant(187)  <= Signal_grant(6)(11) or Signal_grant(22)(11); --  Grant(12,11)
262Grant(188)  <= Signal_grant(7)(12) or Signal_grant(23)(12); --  Grant(12,12)
263Grant(189)  <= Signal_grant(8)(13) or Signal_grant(24)(13); --  Grant(12,13)
264Grant(190)  <= Signal_grant(9)(14) or Signal_grant(25)(14); --  Grant(12,14)
265Grant(191)  <= Signal_grant(10)(15) or Signal_grant(26)(15); --  Grant(12,15)
266Grant(192)  <= Signal_grant(11)(16) or Signal_grant(27)(16); --  Grant(12,16)
267Grant(193)  <= Signal_grant(13)(1) or Signal_grant(29)(1); --  Grant(13,1)
268Grant(194)  <= Signal_grant(14)(2) or Signal_grant(30)(2); --  Grant(13,2)
269Grant(195)  <= Signal_grant(15)(3) or Signal_grant(31)(3); --  Grant(13,3)
270Grant(196)  <= Signal_grant(16)(4) ;                      --  Grant(13,4)
271Grant(197)  <= Signal_grant(1)(5) or Signal_grant(17)(5); --  Grant(13,5)
272Grant(198)  <= Signal_grant(2)(6) or Signal_grant(18)(6); --  Grant(13,6)
273Grant(199)  <= Signal_grant(3)(7) or Signal_grant(19)(7); --  Grant(13,7)
274Grant(200)  <= Signal_grant(4)(8) or Signal_grant(20)(8); --  Grant(13,8)
275Grant(201)  <= Signal_grant(5)(9) or Signal_grant(21)(9); --  Grant(13,9)
276Grant(202)  <= Signal_grant(6)(10) or Signal_grant(22)(10); --  Grant(13,10)
277Grant(203)  <= Signal_grant(7)(11) or Signal_grant(23)(11); --  Grant(13,11)
278Grant(204)  <= Signal_grant(8)(12) or Signal_grant(24)(12); --  Grant(13,12)
279Grant(205)  <= Signal_grant(9)(13) or Signal_grant(25)(13); --  Grant(13,13)
280Grant(206)  <= Signal_grant(10)(14) or Signal_grant(26)(14); --  Grant(13,14)
281Grant(207)  <= Signal_grant(11)(15) or Signal_grant(27)(15); --  Grant(13,15)
282Grant(208)  <= Signal_grant(12)(16) or Signal_grant(28)(16); --  Grant(13,16)
283Grant(209)  <= Signal_grant(14)(1) or Signal_grant(30)(1); --  Grant(14,1)
284Grant(210)  <= Signal_grant(15)(2) or Signal_grant(31)(2); --  Grant(14,2)
285Grant(211)  <= Signal_grant(16)(3) ;                      --  Grant(14,3)
286Grant(212)  <= Signal_grant(1)(4) or Signal_grant(17)(4); --  Grant(14,4)
287Grant(213)  <= Signal_grant(2)(5) or Signal_grant(18)(5); --  Grant(14,5)
288Grant(214)  <= Signal_grant(3)(6) or Signal_grant(19)(6); --  Grant(14,6)
289Grant(215)  <= Signal_grant(4)(7) or Signal_grant(20)(7); --  Grant(14,7)
290Grant(216)  <= Signal_grant(5)(8) or Signal_grant(21)(8); --  Grant(14,8)
291Grant(217)  <= Signal_grant(6)(9) or Signal_grant(22)(9); --  Grant(14,9)
292Grant(218)  <= Signal_grant(7)(10) or Signal_grant(23)(10); --  Grant(14,10)
293Grant(219)  <= Signal_grant(8)(11) or Signal_grant(24)(11); --  Grant(14,11)
294Grant(220)  <= Signal_grant(9)(12) or Signal_grant(25)(12); --  Grant(14,12)
295Grant(221)  <= Signal_grant(10)(13) or Signal_grant(26)(13); --  Grant(14,13)
296Grant(222)  <= Signal_grant(11)(14) or Signal_grant(27)(14); --  Grant(14,14)
297Grant(223)  <= Signal_grant(12)(15) or Signal_grant(28)(15); --  Grant(14,15)
298Grant(224)  <= Signal_grant(13)(16) or Signal_grant(29)(16); --  Grant(14,16)
299Grant(225)  <= Signal_grant(15)(1) or Signal_grant(31)(1); --  Grant(15,1)
300Grant(226)  <= Signal_grant(16)(2) ;                      --  Grant(15,2)
301Grant(227)  <= Signal_grant(1)(3) or Signal_grant(17)(3); --  Grant(15,3)
302Grant(228)  <= Signal_grant(2)(4) or Signal_grant(18)(4); --  Grant(15,4)
303Grant(229)  <= Signal_grant(3)(5) or Signal_grant(19)(5); --  Grant(15,5)
304Grant(230)  <= Signal_grant(4)(6) or Signal_grant(20)(6); --  Grant(15,6)
305Grant(231)  <= Signal_grant(5)(7) or Signal_grant(21)(7); --  Grant(15,7)
306Grant(232)  <= Signal_grant(6)(8) or Signal_grant(22)(8); --  Grant(15,8)
307Grant(233)  <= Signal_grant(7)(9) or Signal_grant(23)(9); --  Grant(15,9)
308Grant(234)  <= Signal_grant(8)(10) or Signal_grant(24)(10); --  Grant(15,10)
309Grant(235)  <= Signal_grant(9)(11) or Signal_grant(25)(11); --  Grant(15,11)
310Grant(236)  <= Signal_grant(10)(12) or Signal_grant(26)(12); --  Grant(15,12)
311Grant(237)  <= Signal_grant(11)(13) or Signal_grant(27)(13); --  Grant(15,13)
312Grant(238)  <= Signal_grant(12)(14) or Signal_grant(28)(14); --  Grant(15,14)
313Grant(239)  <= Signal_grant(13)(15) or Signal_grant(29)(15); --  Grant(15,15)
314Grant(240)  <= Signal_grant(14)(16) or Signal_grant(30)(16); --  Grant(15,16)
315Grant(241)  <= Signal_grant(16)(1) ;                      --  Grant(16,1)
316Grant(242)  <= Signal_grant(1)(2) or Signal_grant(17)(2); --  Grant(16,2)
317Grant(243)  <= Signal_grant(2)(3) or Signal_grant(18)(3); --  Grant(16,3)
318Grant(244)  <= Signal_grant(3)(4) or Signal_grant(19)(4); --  Grant(16,4)
319Grant(245)  <= Signal_grant(4)(5) or Signal_grant(20)(5); --  Grant(16,5)
320Grant(246)  <= Signal_grant(5)(6) or Signal_grant(21)(6); --  Grant(16,6)
321Grant(247)  <= Signal_grant(6)(7) or Signal_grant(22)(7); --  Grant(16,7)
322Grant(248)  <= Signal_grant(7)(8) or Signal_grant(23)(8); --  Grant(16,8)
323Grant(249)  <= Signal_grant(8)(9) or Signal_grant(24)(9); --  Grant(16,9)
324Grant(250)  <= Signal_grant(9)(10) or Signal_grant(25)(10); --  Grant(16,10)
325Grant(251)  <= Signal_grant(10)(11) or Signal_grant(26)(11); --  Grant(16,11)
326Grant(252)  <= Signal_grant(11)(12) or Signal_grant(27)(12); --  Grant(16,12)
327Grant(253)  <= Signal_grant(12)(13) or Signal_grant(28)(13); --  Grant(16,13)
328Grant(254)  <= Signal_grant(13)(14) or Signal_grant(29)(14); --  Grant(16,14)
329Grant(255)  <= Signal_grant(14)(15) or Signal_grant(30)(15); --  Grant(16,15)
330Grant(256)  <= Signal_grant(15)(16) or Signal_grant(31)(16); --  Grant(16,16)
331High <= '1';
332
333----instantiations des cellules arbitres et interconnection
334
335-------------------------- Diagonale n° 1
336
337
338Arbiter_1_1 : Arbiter
339
340PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(1), 
341South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
342
343Arbiter_1_2 : Arbiter
344
345PORT MAP (Request => Request(242), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(2), 
346South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
347
348Arbiter_1_3 : Arbiter
349
350PORT MAP (Request => Request(227), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(3), 
351South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
352
353Arbiter_1_4 : Arbiter
354
355PORT MAP (Request => Request(212), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(4), 
356South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
357
358Arbiter_1_5 : Arbiter
359
360PORT MAP (Request => Request(197), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(5), 
361South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
362
363Arbiter_1_6 : Arbiter
364
365PORT MAP (Request => Request(182), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(6), 
366South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
367
368Arbiter_1_7 : Arbiter
369
370PORT MAP (Request => Request(167), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(7), 
371South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
372
373Arbiter_1_8 : Arbiter
374
375PORT MAP (Request => Request(152), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(8), 
376South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
377
378Arbiter_1_9 : Arbiter
379
380PORT MAP (Request => Request(137), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(9), 
381South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
382
383Arbiter_1_10 : Arbiter
384
385PORT MAP (Request => Request(122), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(10), 
386South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
387
388Arbiter_1_11 : Arbiter
389
390PORT MAP (Request => Request(107), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(11), 
391South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
392
393Arbiter_1_12 : Arbiter
394
395PORT MAP (Request => Request(92), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(12), 
396South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
397
398Arbiter_1_13 : Arbiter
399
400PORT MAP (Request => Request(77), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(13), 
401South => south_2_north(1)(13), East => east_2_west(1)(13) , Grant => Signal_grant(1)(13));
402
403Arbiter_1_14 : Arbiter
404
405PORT MAP (Request => Request(62), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(14), 
406South => south_2_north(1)(14), East => east_2_west(1)(14) , Grant => Signal_grant(1)(14));
407
408Arbiter_1_15 : Arbiter
409
410PORT MAP (Request => Request(47), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(15), 
411South => south_2_north(1)(15), East => east_2_west(1)(15) , Grant => Signal_grant(1)(15));
412
413Arbiter_1_16 : Arbiter
414
415PORT MAP (Request => Request(32), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(16), 
416South => south_2_north(1)(16), East => east_2_west(1)(16) , Grant => Signal_grant(1)(16));
417
418-------------------------- Diagonale n° 2
419
420
421Arbiter_2_1 : Arbiter
422
423PORT MAP (Request => Request(17), North => south_2_north(1)(1), West => east_2_west(1)(16), P => Signal_priority(30), Fifo_full => Fifo_full(1), 
424South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
425
426Arbiter_2_2 : Arbiter
427
428PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(30), Fifo_full => Fifo_full(2), 
429South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
430
431Arbiter_2_3 : Arbiter
432
433PORT MAP (Request => Request(243), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(30), Fifo_full => Fifo_full(3), 
434South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
435
436Arbiter_2_4 : Arbiter
437
438PORT MAP (Request => Request(228), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(30), Fifo_full => Fifo_full(4), 
439South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
440
441Arbiter_2_5 : Arbiter
442
443PORT MAP (Request => Request(213), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(30), Fifo_full => Fifo_full(5), 
444South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
445
446Arbiter_2_6 : Arbiter
447
448PORT MAP (Request => Request(198), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(30), Fifo_full => Fifo_full(6), 
449South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
450
451Arbiter_2_7 : Arbiter
452
453PORT MAP (Request => Request(183), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(30), Fifo_full => Fifo_full(7), 
454South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
455
456Arbiter_2_8 : Arbiter
457
458PORT MAP (Request => Request(168), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(30), Fifo_full => Fifo_full(8), 
459South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
460
461Arbiter_2_9 : Arbiter
462
463PORT MAP (Request => Request(153), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(30), Fifo_full => Fifo_full(9), 
464South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
465
466Arbiter_2_10 : Arbiter
467
468PORT MAP (Request => Request(138), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(30), Fifo_full => Fifo_full(10), 
469South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
470
471Arbiter_2_11 : Arbiter
472
473PORT MAP (Request => Request(123), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(30), Fifo_full => Fifo_full(11), 
474South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
475
476Arbiter_2_12 : Arbiter
477
478PORT MAP (Request => Request(108), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(30), Fifo_full => Fifo_full(12), 
479South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
480
481Arbiter_2_13 : Arbiter
482
483PORT MAP (Request => Request(93), North => south_2_north(1)(13), West => east_2_west(1)(12), P => Signal_priority(30), Fifo_full => Fifo_full(13), 
484South => south_2_north(2)(13), East => east_2_west(2)(13) , Grant => Signal_grant(2)(13));
485
486Arbiter_2_14 : Arbiter
487
488PORT MAP (Request => Request(78), North => south_2_north(1)(14), West => east_2_west(1)(13), P => Signal_priority(30), Fifo_full => Fifo_full(14), 
489South => south_2_north(2)(14), East => east_2_west(2)(14) , Grant => Signal_grant(2)(14));
490
491Arbiter_2_15 : Arbiter
492
493PORT MAP (Request => Request(63), North => south_2_north(1)(15), West => east_2_west(1)(14), P => Signal_priority(30), Fifo_full => Fifo_full(15), 
494South => south_2_north(2)(15), East => east_2_west(2)(15) , Grant => Signal_grant(2)(15));
495
496Arbiter_2_16 : Arbiter
497
498PORT MAP (Request => Request(48), North => south_2_north(1)(16), West => east_2_west(1)(15), P => Signal_priority(30), Fifo_full => Fifo_full(16), 
499South => south_2_north(2)(16), East => east_2_west(2)(16) , Grant => Signal_grant(2)(16));
500
501-------------------------- Diagonale n° 3
502
503
504Arbiter_3_1 : Arbiter
505
506PORT MAP (Request => Request(33), North => south_2_north(2)(1), West => east_2_west(2)(16), P => Signal_priority(29), Fifo_full => Fifo_full(1), 
507South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
508
509Arbiter_3_2 : Arbiter
510
511PORT MAP (Request => Request(18), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(29), Fifo_full => Fifo_full(2), 
512South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
513
514Arbiter_3_3 : Arbiter
515
516PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(29), Fifo_full => Fifo_full(3), 
517South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
518
519Arbiter_3_4 : Arbiter
520
521PORT MAP (Request => Request(244), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(29), Fifo_full => Fifo_full(4), 
522South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
523
524Arbiter_3_5 : Arbiter
525
526PORT MAP (Request => Request(229), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(29), Fifo_full => Fifo_full(5), 
527South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
528
529Arbiter_3_6 : Arbiter
530
531PORT MAP (Request => Request(214), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(29), Fifo_full => Fifo_full(6), 
532South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
533
534Arbiter_3_7 : Arbiter
535
536PORT MAP (Request => Request(199), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(29), Fifo_full => Fifo_full(7), 
537South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
538
539Arbiter_3_8 : Arbiter
540
541PORT MAP (Request => Request(184), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(29), Fifo_full => Fifo_full(8), 
542South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
543
544Arbiter_3_9 : Arbiter
545
546PORT MAP (Request => Request(169), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(29), Fifo_full => Fifo_full(9), 
547South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
548
549Arbiter_3_10 : Arbiter
550
551PORT MAP (Request => Request(154), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(29), Fifo_full => Fifo_full(10), 
552South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
553
554Arbiter_3_11 : Arbiter
555
556PORT MAP (Request => Request(139), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(29), Fifo_full => Fifo_full(11), 
557South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
558
559Arbiter_3_12 : Arbiter
560
561PORT MAP (Request => Request(124), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(29), Fifo_full => Fifo_full(12), 
562South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
563
564Arbiter_3_13 : Arbiter
565
566PORT MAP (Request => Request(109), North => south_2_north(2)(13), West => east_2_west(2)(12), P => Signal_priority(29), Fifo_full => Fifo_full(13), 
567South => south_2_north(3)(13), East => east_2_west(3)(13) , Grant => Signal_grant(3)(13));
568
569Arbiter_3_14 : Arbiter
570
571PORT MAP (Request => Request(94), North => south_2_north(2)(14), West => east_2_west(2)(13), P => Signal_priority(29), Fifo_full => Fifo_full(14), 
572South => south_2_north(3)(14), East => east_2_west(3)(14) , Grant => Signal_grant(3)(14));
573
574Arbiter_3_15 : Arbiter
575
576PORT MAP (Request => Request(79), North => south_2_north(2)(15), West => east_2_west(2)(14), P => Signal_priority(29), Fifo_full => Fifo_full(15), 
577South => south_2_north(3)(15), East => east_2_west(3)(15) , Grant => Signal_grant(3)(15));
578
579Arbiter_3_16 : Arbiter
580
581PORT MAP (Request => Request(64), North => south_2_north(2)(16), West => east_2_west(2)(15), P => Signal_priority(29), Fifo_full => Fifo_full(16), 
582South => south_2_north(3)(16), East => east_2_west(3)(16) , Grant => Signal_grant(3)(16));
583
584-------------------------- Diagonale n° 4
585
586
587Arbiter_4_1 : Arbiter
588
589PORT MAP (Request => Request(49), North => south_2_north(3)(1), West => east_2_west(3)(16), P => Signal_priority(28), Fifo_full => Fifo_full(1), 
590South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
591
592Arbiter_4_2 : Arbiter
593
594PORT MAP (Request => Request(34), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(28), Fifo_full => Fifo_full(2), 
595South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
596
597Arbiter_4_3 : Arbiter
598
599PORT MAP (Request => Request(19), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(28), Fifo_full => Fifo_full(3), 
600South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
601
602Arbiter_4_4 : Arbiter
603
604PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(28), Fifo_full => Fifo_full(4), 
605South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
606
607Arbiter_4_5 : Arbiter
608
609PORT MAP (Request => Request(245), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(28), Fifo_full => Fifo_full(5), 
610South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
611
612Arbiter_4_6 : Arbiter
613
614PORT MAP (Request => Request(230), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(28), Fifo_full => Fifo_full(6), 
615South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
616
617Arbiter_4_7 : Arbiter
618
619PORT MAP (Request => Request(215), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(28), Fifo_full => Fifo_full(7), 
620South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
621
622Arbiter_4_8 : Arbiter
623
624PORT MAP (Request => Request(200), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(28), Fifo_full => Fifo_full(8), 
625South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
626
627Arbiter_4_9 : Arbiter
628
629PORT MAP (Request => Request(185), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(28), Fifo_full => Fifo_full(9), 
630South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
631
632Arbiter_4_10 : Arbiter
633
634PORT MAP (Request => Request(170), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(28), Fifo_full => Fifo_full(10), 
635South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
636
637Arbiter_4_11 : Arbiter
638
639PORT MAP (Request => Request(155), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(28), Fifo_full => Fifo_full(11), 
640South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
641
642Arbiter_4_12 : Arbiter
643
644PORT MAP (Request => Request(140), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(28), Fifo_full => Fifo_full(12), 
645South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
646
647Arbiter_4_13 : Arbiter
648
649PORT MAP (Request => Request(125), North => south_2_north(3)(13), West => east_2_west(3)(12), P => Signal_priority(28), Fifo_full => Fifo_full(13), 
650South => south_2_north(4)(13), East => east_2_west(4)(13) , Grant => Signal_grant(4)(13));
651
652Arbiter_4_14 : Arbiter
653
654PORT MAP (Request => Request(110), North => south_2_north(3)(14), West => east_2_west(3)(13), P => Signal_priority(28), Fifo_full => Fifo_full(14), 
655South => south_2_north(4)(14), East => east_2_west(4)(14) , Grant => Signal_grant(4)(14));
656
657Arbiter_4_15 : Arbiter
658
659PORT MAP (Request => Request(95), North => south_2_north(3)(15), West => east_2_west(3)(14), P => Signal_priority(28), Fifo_full => Fifo_full(15), 
660South => south_2_north(4)(15), East => east_2_west(4)(15) , Grant => Signal_grant(4)(15));
661
662Arbiter_4_16 : Arbiter
663
664PORT MAP (Request => Request(80), North => south_2_north(3)(16), West => east_2_west(3)(15), P => Signal_priority(28), Fifo_full => Fifo_full(16), 
665South => south_2_north(4)(16), East => east_2_west(4)(16) , Grant => Signal_grant(4)(16));
666
667-------------------------- Diagonale n° 5
668
669
670Arbiter_5_1 : Arbiter
671
672PORT MAP (Request => Request(65), North => south_2_north(4)(1), West => east_2_west(4)(16), P => Signal_priority(27), Fifo_full => Fifo_full(1), 
673South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
674
675Arbiter_5_2 : Arbiter
676
677PORT MAP (Request => Request(50), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(27), Fifo_full => Fifo_full(2), 
678South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
679
680Arbiter_5_3 : Arbiter
681
682PORT MAP (Request => Request(35), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(27), Fifo_full => Fifo_full(3), 
683South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
684
685Arbiter_5_4 : Arbiter
686
687PORT MAP (Request => Request(20), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(27), Fifo_full => Fifo_full(4), 
688South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
689
690Arbiter_5_5 : Arbiter
691
692PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(27), Fifo_full => Fifo_full(5), 
693South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
694
695Arbiter_5_6 : Arbiter
696
697PORT MAP (Request => Request(246), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(27), Fifo_full => Fifo_full(6), 
698South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
699
700Arbiter_5_7 : Arbiter
701
702PORT MAP (Request => Request(231), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(27), Fifo_full => Fifo_full(7), 
703South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
704
705Arbiter_5_8 : Arbiter
706
707PORT MAP (Request => Request(216), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(27), Fifo_full => Fifo_full(8), 
708South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
709
710Arbiter_5_9 : Arbiter
711
712PORT MAP (Request => Request(201), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(27), Fifo_full => Fifo_full(9), 
713South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
714
715Arbiter_5_10 : Arbiter
716
717PORT MAP (Request => Request(186), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(27), Fifo_full => Fifo_full(10), 
718South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
719
720Arbiter_5_11 : Arbiter
721
722PORT MAP (Request => Request(171), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(27), Fifo_full => Fifo_full(11), 
723South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
724
725Arbiter_5_12 : Arbiter
726
727PORT MAP (Request => Request(156), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(27), Fifo_full => Fifo_full(12), 
728South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
729
730Arbiter_5_13 : Arbiter
731
732PORT MAP (Request => Request(141), North => south_2_north(4)(13), West => east_2_west(4)(12), P => Signal_priority(27), Fifo_full => Fifo_full(13), 
733South => south_2_north(5)(13), East => east_2_west(5)(13) , Grant => Signal_grant(5)(13));
734
735Arbiter_5_14 : Arbiter
736
737PORT MAP (Request => Request(126), North => south_2_north(4)(14), West => east_2_west(4)(13), P => Signal_priority(27), Fifo_full => Fifo_full(14), 
738South => south_2_north(5)(14), East => east_2_west(5)(14) , Grant => Signal_grant(5)(14));
739
740Arbiter_5_15 : Arbiter
741
742PORT MAP (Request => Request(111), North => south_2_north(4)(15), West => east_2_west(4)(14), P => Signal_priority(27), Fifo_full => Fifo_full(15), 
743South => south_2_north(5)(15), East => east_2_west(5)(15) , Grant => Signal_grant(5)(15));
744
745Arbiter_5_16 : Arbiter
746
747PORT MAP (Request => Request(96), North => south_2_north(4)(16), West => east_2_west(4)(15), P => Signal_priority(27), Fifo_full => Fifo_full(16), 
748South => south_2_north(5)(16), East => east_2_west(5)(16) , Grant => Signal_grant(5)(16));
749
750-------------------------- Diagonale n° 6
751
752
753Arbiter_6_1 : Arbiter
754
755PORT MAP (Request => Request(81), North => south_2_north(5)(1), West => east_2_west(5)(16), P => Signal_priority(26), Fifo_full => Fifo_full(1), 
756South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
757
758Arbiter_6_2 : Arbiter
759
760PORT MAP (Request => Request(66), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(26), Fifo_full => Fifo_full(2), 
761South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
762
763Arbiter_6_3 : Arbiter
764
765PORT MAP (Request => Request(51), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(26), Fifo_full => Fifo_full(3), 
766South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
767
768Arbiter_6_4 : Arbiter
769
770PORT MAP (Request => Request(36), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(26), Fifo_full => Fifo_full(4), 
771South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
772
773Arbiter_6_5 : Arbiter
774
775PORT MAP (Request => Request(21), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(26), Fifo_full => Fifo_full(5), 
776South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
777
778Arbiter_6_6 : Arbiter
779
780PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(26), Fifo_full => Fifo_full(6), 
781South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
782
783Arbiter_6_7 : Arbiter
784
785PORT MAP (Request => Request(247), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(26), Fifo_full => Fifo_full(7), 
786South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
787
788Arbiter_6_8 : Arbiter
789
790PORT MAP (Request => Request(232), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(26), Fifo_full => Fifo_full(8), 
791South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
792
793Arbiter_6_9 : Arbiter
794
795PORT MAP (Request => Request(217), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(26), Fifo_full => Fifo_full(9), 
796South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
797
798Arbiter_6_10 : Arbiter
799
800PORT MAP (Request => Request(202), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(26), Fifo_full => Fifo_full(10), 
801South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
802
803Arbiter_6_11 : Arbiter
804
805PORT MAP (Request => Request(187), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(26), Fifo_full => Fifo_full(11), 
806South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
807
808Arbiter_6_12 : Arbiter
809
810PORT MAP (Request => Request(172), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(26), Fifo_full => Fifo_full(12), 
811South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
812
813Arbiter_6_13 : Arbiter
814
815PORT MAP (Request => Request(157), North => south_2_north(5)(13), West => east_2_west(5)(12), P => Signal_priority(26), Fifo_full => Fifo_full(13), 
816South => south_2_north(6)(13), East => east_2_west(6)(13) , Grant => Signal_grant(6)(13));
817
818Arbiter_6_14 : Arbiter
819
820PORT MAP (Request => Request(142), North => south_2_north(5)(14), West => east_2_west(5)(13), P => Signal_priority(26), Fifo_full => Fifo_full(14), 
821South => south_2_north(6)(14), East => east_2_west(6)(14) , Grant => Signal_grant(6)(14));
822
823Arbiter_6_15 : Arbiter
824
825PORT MAP (Request => Request(127), North => south_2_north(5)(15), West => east_2_west(5)(14), P => Signal_priority(26), Fifo_full => Fifo_full(15), 
826South => south_2_north(6)(15), East => east_2_west(6)(15) , Grant => Signal_grant(6)(15));
827
828Arbiter_6_16 : Arbiter
829
830PORT MAP (Request => Request(112), North => south_2_north(5)(16), West => east_2_west(5)(15), P => Signal_priority(26), Fifo_full => Fifo_full(16), 
831South => south_2_north(6)(16), East => east_2_west(6)(16) , Grant => Signal_grant(6)(16));
832
833-------------------------- Diagonale n° 7
834
835
836Arbiter_7_1 : Arbiter
837
838PORT MAP (Request => Request(97), North => south_2_north(6)(1), West => east_2_west(6)(16), P => Signal_priority(25), Fifo_full => Fifo_full(1), 
839South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
840
841Arbiter_7_2 : Arbiter
842
843PORT MAP (Request => Request(82), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(25), Fifo_full => Fifo_full(2), 
844South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
845
846Arbiter_7_3 : Arbiter
847
848PORT MAP (Request => Request(67), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(25), Fifo_full => Fifo_full(3), 
849South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
850
851Arbiter_7_4 : Arbiter
852
853PORT MAP (Request => Request(52), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(25), Fifo_full => Fifo_full(4), 
854South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
855
856Arbiter_7_5 : Arbiter
857
858PORT MAP (Request => Request(37), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(25), Fifo_full => Fifo_full(5), 
859South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
860
861Arbiter_7_6 : Arbiter
862
863PORT MAP (Request => Request(22), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(25), Fifo_full => Fifo_full(6), 
864South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
865
866Arbiter_7_7 : Arbiter
867
868PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(25), Fifo_full => Fifo_full(7), 
869South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
870
871Arbiter_7_8 : Arbiter
872
873PORT MAP (Request => Request(248), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(25), Fifo_full => Fifo_full(8), 
874South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
875
876Arbiter_7_9 : Arbiter
877
878PORT MAP (Request => Request(233), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(25), Fifo_full => Fifo_full(9), 
879South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
880
881Arbiter_7_10 : Arbiter
882
883PORT MAP (Request => Request(218), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(25), Fifo_full => Fifo_full(10), 
884South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
885
886Arbiter_7_11 : Arbiter
887
888PORT MAP (Request => Request(203), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(25), Fifo_full => Fifo_full(11), 
889South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
890
891Arbiter_7_12 : Arbiter
892
893PORT MAP (Request => Request(188), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(25), Fifo_full => Fifo_full(12), 
894South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
895
896Arbiter_7_13 : Arbiter
897
898PORT MAP (Request => Request(173), North => south_2_north(6)(13), West => east_2_west(6)(12), P => Signal_priority(25), Fifo_full => Fifo_full(13), 
899South => south_2_north(7)(13), East => east_2_west(7)(13) , Grant => Signal_grant(7)(13));
900
901Arbiter_7_14 : Arbiter
902
903PORT MAP (Request => Request(158), North => south_2_north(6)(14), West => east_2_west(6)(13), P => Signal_priority(25), Fifo_full => Fifo_full(14), 
904South => south_2_north(7)(14), East => east_2_west(7)(14) , Grant => Signal_grant(7)(14));
905
906Arbiter_7_15 : Arbiter
907
908PORT MAP (Request => Request(143), North => south_2_north(6)(15), West => east_2_west(6)(14), P => Signal_priority(25), Fifo_full => Fifo_full(15), 
909South => south_2_north(7)(15), East => east_2_west(7)(15) , Grant => Signal_grant(7)(15));
910
911Arbiter_7_16 : Arbiter
912
913PORT MAP (Request => Request(128), North => south_2_north(6)(16), West => east_2_west(6)(15), P => Signal_priority(25), Fifo_full => Fifo_full(16), 
914South => south_2_north(7)(16), East => east_2_west(7)(16) , Grant => Signal_grant(7)(16));
915
916-------------------------- Diagonale n° 8
917
918
919Arbiter_8_1 : Arbiter
920
921PORT MAP (Request => Request(113), North => south_2_north(7)(1), West => east_2_west(7)(16), P => Signal_priority(24), Fifo_full => Fifo_full(1), 
922South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
923
924Arbiter_8_2 : Arbiter
925
926PORT MAP (Request => Request(98), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(24), Fifo_full => Fifo_full(2), 
927South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
928
929Arbiter_8_3 : Arbiter
930
931PORT MAP (Request => Request(83), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(24), Fifo_full => Fifo_full(3), 
932South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
933
934Arbiter_8_4 : Arbiter
935
936PORT MAP (Request => Request(68), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(24), Fifo_full => Fifo_full(4), 
937South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
938
939Arbiter_8_5 : Arbiter
940
941PORT MAP (Request => Request(53), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(24), Fifo_full => Fifo_full(5), 
942South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
943
944Arbiter_8_6 : Arbiter
945
946PORT MAP (Request => Request(38), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(24), Fifo_full => Fifo_full(6), 
947South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
948
949Arbiter_8_7 : Arbiter
950
951PORT MAP (Request => Request(23), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(24), Fifo_full => Fifo_full(7), 
952South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
953
954Arbiter_8_8 : Arbiter
955
956PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(24), Fifo_full => Fifo_full(8), 
957South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
958
959Arbiter_8_9 : Arbiter
960
961PORT MAP (Request => Request(249), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(24), Fifo_full => Fifo_full(9), 
962South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
963
964Arbiter_8_10 : Arbiter
965
966PORT MAP (Request => Request(234), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(24), Fifo_full => Fifo_full(10), 
967South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
968
969Arbiter_8_11 : Arbiter
970
971PORT MAP (Request => Request(219), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(24), Fifo_full => Fifo_full(11), 
972South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
973
974Arbiter_8_12 : Arbiter
975
976PORT MAP (Request => Request(204), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(24), Fifo_full => Fifo_full(12), 
977South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
978
979Arbiter_8_13 : Arbiter
980
981PORT MAP (Request => Request(189), North => south_2_north(7)(13), West => east_2_west(7)(12), P => Signal_priority(24), Fifo_full => Fifo_full(13), 
982South => south_2_north(8)(13), East => east_2_west(8)(13) , Grant => Signal_grant(8)(13));
983
984Arbiter_8_14 : Arbiter
985
986PORT MAP (Request => Request(174), North => south_2_north(7)(14), West => east_2_west(7)(13), P => Signal_priority(24), Fifo_full => Fifo_full(14), 
987South => south_2_north(8)(14), East => east_2_west(8)(14) , Grant => Signal_grant(8)(14));
988
989Arbiter_8_15 : Arbiter
990
991PORT MAP (Request => Request(159), North => south_2_north(7)(15), West => east_2_west(7)(14), P => Signal_priority(24), Fifo_full => Fifo_full(15), 
992South => south_2_north(8)(15), East => east_2_west(8)(15) , Grant => Signal_grant(8)(15));
993
994Arbiter_8_16 : Arbiter
995
996PORT MAP (Request => Request(144), North => south_2_north(7)(16), West => east_2_west(7)(15), P => Signal_priority(24), Fifo_full => Fifo_full(16), 
997South => south_2_north(8)(16), East => east_2_west(8)(16) , Grant => Signal_grant(8)(16));
998
999-------------------------- Diagonale n° 9
1000
1001
1002Arbiter_9_1 : Arbiter
1003
1004PORT MAP (Request => Request(129), North => south_2_north(8)(1), West => east_2_west(8)(16), P => Signal_priority(23), Fifo_full => Fifo_full(1), 
1005South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
1006
1007Arbiter_9_2 : Arbiter
1008
1009PORT MAP (Request => Request(114), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(23), Fifo_full => Fifo_full(2), 
1010South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
1011
1012Arbiter_9_3 : Arbiter
1013
1014PORT MAP (Request => Request(99), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(23), Fifo_full => Fifo_full(3), 
1015South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
1016
1017Arbiter_9_4 : Arbiter
1018
1019PORT MAP (Request => Request(84), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(23), Fifo_full => Fifo_full(4), 
1020South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
1021
1022Arbiter_9_5 : Arbiter
1023
1024PORT MAP (Request => Request(69), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(23), Fifo_full => Fifo_full(5), 
1025South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
1026
1027Arbiter_9_6 : Arbiter
1028
1029PORT MAP (Request => Request(54), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(23), Fifo_full => Fifo_full(6), 
1030South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
1031
1032Arbiter_9_7 : Arbiter
1033
1034PORT MAP (Request => Request(39), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(23), Fifo_full => Fifo_full(7), 
1035South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
1036
1037Arbiter_9_8 : Arbiter
1038
1039PORT MAP (Request => Request(24), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(23), Fifo_full => Fifo_full(8), 
1040South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
1041
1042Arbiter_9_9 : Arbiter
1043
1044PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(23), Fifo_full => Fifo_full(9), 
1045South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
1046
1047Arbiter_9_10 : Arbiter
1048
1049PORT MAP (Request => Request(250), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(23), Fifo_full => Fifo_full(10), 
1050South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
1051
1052Arbiter_9_11 : Arbiter
1053
1054PORT MAP (Request => Request(235), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(23), Fifo_full => Fifo_full(11), 
1055South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
1056
1057Arbiter_9_12 : Arbiter
1058
1059PORT MAP (Request => Request(220), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(23), Fifo_full => Fifo_full(12), 
1060South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
1061
1062Arbiter_9_13 : Arbiter
1063
1064PORT MAP (Request => Request(205), North => south_2_north(8)(13), West => east_2_west(8)(12), P => Signal_priority(23), Fifo_full => Fifo_full(13), 
1065South => south_2_north(9)(13), East => east_2_west(9)(13) , Grant => Signal_grant(9)(13));
1066
1067Arbiter_9_14 : Arbiter
1068
1069PORT MAP (Request => Request(190), North => south_2_north(8)(14), West => east_2_west(8)(13), P => Signal_priority(23), Fifo_full => Fifo_full(14), 
1070South => south_2_north(9)(14), East => east_2_west(9)(14) , Grant => Signal_grant(9)(14));
1071
1072Arbiter_9_15 : Arbiter
1073
1074PORT MAP (Request => Request(175), North => south_2_north(8)(15), West => east_2_west(8)(14), P => Signal_priority(23), Fifo_full => Fifo_full(15), 
1075South => south_2_north(9)(15), East => east_2_west(9)(15) , Grant => Signal_grant(9)(15));
1076
1077Arbiter_9_16 : Arbiter
1078
1079PORT MAP (Request => Request(160), North => south_2_north(8)(16), West => east_2_west(8)(15), P => Signal_priority(23), Fifo_full => Fifo_full(16), 
1080South => south_2_north(9)(16), East => east_2_west(9)(16) , Grant => Signal_grant(9)(16));
1081
1082-------------------------- Diagonale n° 10
1083
1084
1085Arbiter_10_1 : Arbiter
1086
1087PORT MAP (Request => Request(145), North => south_2_north(9)(1), West => east_2_west(9)(16), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
1088South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
1089
1090Arbiter_10_2 : Arbiter
1091
1092PORT MAP (Request => Request(130), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
1093South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
1094
1095Arbiter_10_3 : Arbiter
1096
1097PORT MAP (Request => Request(115), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
1098South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
1099
1100Arbiter_10_4 : Arbiter
1101
1102PORT MAP (Request => Request(100), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
1103South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
1104
1105Arbiter_10_5 : Arbiter
1106
1107PORT MAP (Request => Request(85), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
1108South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
1109
1110Arbiter_10_6 : Arbiter
1111
1112PORT MAP (Request => Request(70), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
1113South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
1114
1115Arbiter_10_7 : Arbiter
1116
1117PORT MAP (Request => Request(55), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
1118South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
1119
1120Arbiter_10_8 : Arbiter
1121
1122PORT MAP (Request => Request(40), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
1123South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
1124
1125Arbiter_10_9 : Arbiter
1126
1127PORT MAP (Request => Request(25), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
1128South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
1129
1130Arbiter_10_10 : Arbiter
1131
1132PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
1133South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
1134
1135Arbiter_10_11 : Arbiter
1136
1137PORT MAP (Request => Request(251), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
1138South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
1139
1140Arbiter_10_12 : Arbiter
1141
1142PORT MAP (Request => Request(236), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
1143South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
1144
1145Arbiter_10_13 : Arbiter
1146
1147PORT MAP (Request => Request(221), North => south_2_north(9)(13), West => east_2_west(9)(12), P => Signal_priority(22), Fifo_full => Fifo_full(13), 
1148South => south_2_north(10)(13), East => east_2_west(10)(13) , Grant => Signal_grant(10)(13));
1149
1150Arbiter_10_14 : Arbiter
1151
1152PORT MAP (Request => Request(206), North => south_2_north(9)(14), West => east_2_west(9)(13), P => Signal_priority(22), Fifo_full => Fifo_full(14), 
1153South => south_2_north(10)(14), East => east_2_west(10)(14) , Grant => Signal_grant(10)(14));
1154
1155Arbiter_10_15 : Arbiter
1156
1157PORT MAP (Request => Request(191), North => south_2_north(9)(15), West => east_2_west(9)(14), P => Signal_priority(22), Fifo_full => Fifo_full(15), 
1158South => south_2_north(10)(15), East => east_2_west(10)(15) , Grant => Signal_grant(10)(15));
1159
1160Arbiter_10_16 : Arbiter
1161
1162PORT MAP (Request => Request(176), North => south_2_north(9)(16), West => east_2_west(9)(15), P => Signal_priority(22), Fifo_full => Fifo_full(16), 
1163South => south_2_north(10)(16), East => east_2_west(10)(16) , Grant => Signal_grant(10)(16));
1164
1165-------------------------- Diagonale n° 11
1166
1167
1168Arbiter_11_1 : Arbiter
1169
1170PORT MAP (Request => Request(161), North => south_2_north(10)(1), West => east_2_west(10)(16), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
1171South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
1172
1173Arbiter_11_2 : Arbiter
1174
1175PORT MAP (Request => Request(146), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
1176South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
1177
1178Arbiter_11_3 : Arbiter
1179
1180PORT MAP (Request => Request(131), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
1181South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
1182
1183Arbiter_11_4 : Arbiter
1184
1185PORT MAP (Request => Request(116), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
1186South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
1187
1188Arbiter_11_5 : Arbiter
1189
1190PORT MAP (Request => Request(101), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
1191South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
1192
1193Arbiter_11_6 : Arbiter
1194
1195PORT MAP (Request => Request(86), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
1196South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
1197
1198Arbiter_11_7 : Arbiter
1199
1200PORT MAP (Request => Request(71), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
1201South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
1202
1203Arbiter_11_8 : Arbiter
1204
1205PORT MAP (Request => Request(56), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
1206South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
1207
1208Arbiter_11_9 : Arbiter
1209
1210PORT MAP (Request => Request(41), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
1211South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
1212
1213Arbiter_11_10 : Arbiter
1214
1215PORT MAP (Request => Request(26), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
1216South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
1217
1218Arbiter_11_11 : Arbiter
1219
1220PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
1221South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
1222
1223Arbiter_11_12 : Arbiter
1224
1225PORT MAP (Request => Request(252), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
1226South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
1227
1228Arbiter_11_13 : Arbiter
1229
1230PORT MAP (Request => Request(237), North => south_2_north(10)(13), West => east_2_west(10)(12), P => Signal_priority(21), Fifo_full => Fifo_full(13), 
1231South => south_2_north(11)(13), East => east_2_west(11)(13) , Grant => Signal_grant(11)(13));
1232
1233Arbiter_11_14 : Arbiter
1234
1235PORT MAP (Request => Request(222), North => south_2_north(10)(14), West => east_2_west(10)(13), P => Signal_priority(21), Fifo_full => Fifo_full(14), 
1236South => south_2_north(11)(14), East => east_2_west(11)(14) , Grant => Signal_grant(11)(14));
1237
1238Arbiter_11_15 : Arbiter
1239
1240PORT MAP (Request => Request(207), North => south_2_north(10)(15), West => east_2_west(10)(14), P => Signal_priority(21), Fifo_full => Fifo_full(15), 
1241South => south_2_north(11)(15), East => east_2_west(11)(15) , Grant => Signal_grant(11)(15));
1242
1243Arbiter_11_16 : Arbiter
1244
1245PORT MAP (Request => Request(192), North => south_2_north(10)(16), West => east_2_west(10)(15), P => Signal_priority(21), Fifo_full => Fifo_full(16), 
1246South => south_2_north(11)(16), East => east_2_west(11)(16) , Grant => Signal_grant(11)(16));
1247
1248-------------------------- Diagonale n° 12
1249
1250
1251Arbiter_12_1 : Arbiter
1252
1253PORT MAP (Request => Request(177), North => south_2_north(11)(1), West => east_2_west(11)(16), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
1254South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
1255
1256Arbiter_12_2 : Arbiter
1257
1258PORT MAP (Request => Request(162), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
1259South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
1260
1261Arbiter_12_3 : Arbiter
1262
1263PORT MAP (Request => Request(147), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
1264South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
1265
1266Arbiter_12_4 : Arbiter
1267
1268PORT MAP (Request => Request(132), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
1269South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
1270
1271Arbiter_12_5 : Arbiter
1272
1273PORT MAP (Request => Request(117), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
1274South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
1275
1276Arbiter_12_6 : Arbiter
1277
1278PORT MAP (Request => Request(102), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
1279South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
1280
1281Arbiter_12_7 : Arbiter
1282
1283PORT MAP (Request => Request(87), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
1284South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
1285
1286Arbiter_12_8 : Arbiter
1287
1288PORT MAP (Request => Request(72), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
1289South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
1290
1291Arbiter_12_9 : Arbiter
1292
1293PORT MAP (Request => Request(57), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
1294South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
1295
1296Arbiter_12_10 : Arbiter
1297
1298PORT MAP (Request => Request(42), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
1299South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
1300
1301Arbiter_12_11 : Arbiter
1302
1303PORT MAP (Request => Request(27), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
1304South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
1305
1306Arbiter_12_12 : Arbiter
1307
1308PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
1309South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
1310
1311Arbiter_12_13 : Arbiter
1312
1313PORT MAP (Request => Request(253), North => south_2_north(11)(13), West => east_2_west(11)(12), P => Signal_priority(20), Fifo_full => Fifo_full(13), 
1314South => south_2_north(12)(13), East => east_2_west(12)(13) , Grant => Signal_grant(12)(13));
1315
1316Arbiter_12_14 : Arbiter
1317
1318PORT MAP (Request => Request(238), North => south_2_north(11)(14), West => east_2_west(11)(13), P => Signal_priority(20), Fifo_full => Fifo_full(14), 
1319South => south_2_north(12)(14), East => east_2_west(12)(14) , Grant => Signal_grant(12)(14));
1320
1321Arbiter_12_15 : Arbiter
1322
1323PORT MAP (Request => Request(223), North => south_2_north(11)(15), West => east_2_west(11)(14), P => Signal_priority(20), Fifo_full => Fifo_full(15), 
1324South => south_2_north(12)(15), East => east_2_west(12)(15) , Grant => Signal_grant(12)(15));
1325
1326Arbiter_12_16 : Arbiter
1327
1328PORT MAP (Request => Request(208), North => south_2_north(11)(16), West => east_2_west(11)(15), P => Signal_priority(20), Fifo_full => Fifo_full(16), 
1329South => south_2_north(12)(16), East => east_2_west(12)(16) , Grant => Signal_grant(12)(16));
1330
1331-------------------------- Diagonale n° 13
1332
1333
1334Arbiter_13_1 : Arbiter
1335
1336PORT MAP (Request => Request(193), North => south_2_north(12)(1), West => east_2_west(12)(16), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
1337South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
1338
1339Arbiter_13_2 : Arbiter
1340
1341PORT MAP (Request => Request(178), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
1342South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
1343
1344Arbiter_13_3 : Arbiter
1345
1346PORT MAP (Request => Request(163), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
1347South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
1348
1349Arbiter_13_4 : Arbiter
1350
1351PORT MAP (Request => Request(148), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
1352South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1353
1354Arbiter_13_5 : Arbiter
1355
1356PORT MAP (Request => Request(133), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
1357South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1358
1359Arbiter_13_6 : Arbiter
1360
1361PORT MAP (Request => Request(118), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
1362South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1363
1364Arbiter_13_7 : Arbiter
1365
1366PORT MAP (Request => Request(103), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
1367South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1368
1369Arbiter_13_8 : Arbiter
1370
1371PORT MAP (Request => Request(88), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
1372South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1373
1374Arbiter_13_9 : Arbiter
1375
1376PORT MAP (Request => Request(73), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
1377South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1378
1379Arbiter_13_10 : Arbiter
1380
1381PORT MAP (Request => Request(58), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
1382South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1383
1384Arbiter_13_11 : Arbiter
1385
1386PORT MAP (Request => Request(43), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
1387South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1388
1389Arbiter_13_12 : Arbiter
1390
1391PORT MAP (Request => Request(28), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
1392South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1393
1394Arbiter_13_13 : Arbiter
1395
1396PORT MAP (Request => Request(13), North => south_2_north(12)(13), West => east_2_west(12)(12), P => Signal_priority(19), Fifo_full => Fifo_full(13), 
1397South => south_2_north(13)(13), East => east_2_west(13)(13) , Grant => Signal_grant(13)(13));
1398
1399Arbiter_13_14 : Arbiter
1400
1401PORT MAP (Request => Request(254), North => south_2_north(12)(14), West => east_2_west(12)(13), P => Signal_priority(19), Fifo_full => Fifo_full(14), 
1402South => south_2_north(13)(14), East => east_2_west(13)(14) , Grant => Signal_grant(13)(14));
1403
1404Arbiter_13_15 : Arbiter
1405
1406PORT MAP (Request => Request(239), North => south_2_north(12)(15), West => east_2_west(12)(14), P => Signal_priority(19), Fifo_full => Fifo_full(15), 
1407South => south_2_north(13)(15), East => east_2_west(13)(15) , Grant => Signal_grant(13)(15));
1408
1409Arbiter_13_16 : Arbiter
1410
1411PORT MAP (Request => Request(224), North => south_2_north(12)(16), West => east_2_west(12)(15), P => Signal_priority(19), Fifo_full => Fifo_full(16), 
1412South => south_2_north(13)(16), East => east_2_west(13)(16) , Grant => Signal_grant(13)(16));
1413
1414-------------------------- Diagonale n° 14
1415
1416
1417Arbiter_14_1 : Arbiter
1418
1419PORT MAP (Request => Request(209), North => south_2_north(13)(1), West => east_2_west(13)(16), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
1420South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1421
1422Arbiter_14_2 : Arbiter
1423
1424PORT MAP (Request => Request(194), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
1425South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1426
1427Arbiter_14_3 : Arbiter
1428
1429PORT MAP (Request => Request(179), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
1430South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1431
1432Arbiter_14_4 : Arbiter
1433
1434PORT MAP (Request => Request(164), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
1435South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1436
1437Arbiter_14_5 : Arbiter
1438
1439PORT MAP (Request => Request(149), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
1440South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1441
1442Arbiter_14_6 : Arbiter
1443
1444PORT MAP (Request => Request(134), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
1445South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1446
1447Arbiter_14_7 : Arbiter
1448
1449PORT MAP (Request => Request(119), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
1450South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1451
1452Arbiter_14_8 : Arbiter
1453
1454PORT MAP (Request => Request(104), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
1455South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1456
1457Arbiter_14_9 : Arbiter
1458
1459PORT MAP (Request => Request(89), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
1460South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1461
1462Arbiter_14_10 : Arbiter
1463
1464PORT MAP (Request => Request(74), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
1465South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1466
1467Arbiter_14_11 : Arbiter
1468
1469PORT MAP (Request => Request(59), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
1470South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1471
1472Arbiter_14_12 : Arbiter
1473
1474PORT MAP (Request => Request(44), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
1475South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1476
1477Arbiter_14_13 : Arbiter
1478
1479PORT MAP (Request => Request(29), North => south_2_north(13)(13), West => east_2_west(13)(12), P => Signal_priority(18), Fifo_full => Fifo_full(13), 
1480South => south_2_north(14)(13), East => east_2_west(14)(13) , Grant => Signal_grant(14)(13));
1481
1482Arbiter_14_14 : Arbiter
1483
1484PORT MAP (Request => Request(14), North => south_2_north(13)(14), West => east_2_west(13)(13), P => Signal_priority(18), Fifo_full => Fifo_full(14), 
1485South => south_2_north(14)(14), East => east_2_west(14)(14) , Grant => Signal_grant(14)(14));
1486
1487Arbiter_14_15 : Arbiter
1488
1489PORT MAP (Request => Request(255), North => south_2_north(13)(15), West => east_2_west(13)(14), P => Signal_priority(18), Fifo_full => Fifo_full(15), 
1490South => south_2_north(14)(15), East => east_2_west(14)(15) , Grant => Signal_grant(14)(15));
1491
1492Arbiter_14_16 : Arbiter
1493
1494PORT MAP (Request => Request(240), North => south_2_north(13)(16), West => east_2_west(13)(15), P => Signal_priority(18), Fifo_full => Fifo_full(16), 
1495South => south_2_north(14)(16), East => east_2_west(14)(16) , Grant => Signal_grant(14)(16));
1496
1497-------------------------- Diagonale n° 15
1498
1499
1500Arbiter_15_1 : Arbiter
1501
1502PORT MAP (Request => Request(225), North => south_2_north(14)(1), West => east_2_west(14)(16), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
1503South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1504
1505Arbiter_15_2 : Arbiter
1506
1507PORT MAP (Request => Request(210), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
1508South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1509
1510Arbiter_15_3 : Arbiter
1511
1512PORT MAP (Request => Request(195), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
1513South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1514
1515Arbiter_15_4 : Arbiter
1516
1517PORT MAP (Request => Request(180), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
1518South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1519
1520Arbiter_15_5 : Arbiter
1521
1522PORT MAP (Request => Request(165), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
1523South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1524
1525Arbiter_15_6 : Arbiter
1526
1527PORT MAP (Request => Request(150), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
1528South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1529
1530Arbiter_15_7 : Arbiter
1531
1532PORT MAP (Request => Request(135), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
1533South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1534
1535Arbiter_15_8 : Arbiter
1536
1537PORT MAP (Request => Request(120), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
1538South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1539
1540Arbiter_15_9 : Arbiter
1541
1542PORT MAP (Request => Request(105), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
1543South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1544
1545Arbiter_15_10 : Arbiter
1546
1547PORT MAP (Request => Request(90), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
1548South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1549
1550Arbiter_15_11 : Arbiter
1551
1552PORT MAP (Request => Request(75), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
1553South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1554
1555Arbiter_15_12 : Arbiter
1556
1557PORT MAP (Request => Request(60), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
1558South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1559
1560Arbiter_15_13 : Arbiter
1561
1562PORT MAP (Request => Request(45), North => south_2_north(14)(13), West => east_2_west(14)(12), P => Signal_priority(17), Fifo_full => Fifo_full(13), 
1563South => south_2_north(15)(13), East => east_2_west(15)(13) , Grant => Signal_grant(15)(13));
1564
1565Arbiter_15_14 : Arbiter
1566
1567PORT MAP (Request => Request(30), North => south_2_north(14)(14), West => east_2_west(14)(13), P => Signal_priority(17), Fifo_full => Fifo_full(14), 
1568South => south_2_north(15)(14), East => east_2_west(15)(14) , Grant => Signal_grant(15)(14));
1569
1570Arbiter_15_15 : Arbiter
1571
1572PORT MAP (Request => Request(15), North => south_2_north(14)(15), West => east_2_west(14)(14), P => Signal_priority(17), Fifo_full => Fifo_full(15), 
1573South => south_2_north(15)(15), East => east_2_west(15)(15) , Grant => Signal_grant(15)(15));
1574
1575Arbiter_15_16 : Arbiter
1576
1577PORT MAP (Request => Request(256), North => south_2_north(14)(16), West => east_2_west(14)(15), P => Signal_priority(17), Fifo_full => Fifo_full(16), 
1578South => south_2_north(15)(16), East => east_2_west(15)(16) , Grant => Signal_grant(15)(16));
1579
1580-------------------------- Diagonale n° 16
1581
1582
1583Arbiter_16_1 : Arbiter
1584
1585PORT MAP (Request => Request(241), North => south_2_north(15)(1), West => east_2_west(15)(16), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
1586South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1587
1588Arbiter_16_2 : Arbiter
1589
1590PORT MAP (Request => Request(226), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
1591South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1592
1593Arbiter_16_3 : Arbiter
1594
1595PORT MAP (Request => Request(211), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
1596South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1597
1598Arbiter_16_4 : Arbiter
1599
1600PORT MAP (Request => Request(196), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
1601South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1602
1603Arbiter_16_5 : Arbiter
1604
1605PORT MAP (Request => Request(181), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
1606South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1607
1608Arbiter_16_6 : Arbiter
1609
1610PORT MAP (Request => Request(166), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
1611South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1612
1613Arbiter_16_7 : Arbiter
1614
1615PORT MAP (Request => Request(151), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
1616South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1617
1618Arbiter_16_8 : Arbiter
1619
1620PORT MAP (Request => Request(136), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
1621South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1622
1623Arbiter_16_9 : Arbiter
1624
1625PORT MAP (Request => Request(121), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
1626South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1627
1628Arbiter_16_10 : Arbiter
1629
1630PORT MAP (Request => Request(106), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
1631South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1632
1633Arbiter_16_11 : Arbiter
1634
1635PORT MAP (Request => Request(91), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
1636South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1637
1638Arbiter_16_12 : Arbiter
1639
1640PORT MAP (Request => Request(76), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
1641South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1642
1643Arbiter_16_13 : Arbiter
1644
1645PORT MAP (Request => Request(61), North => south_2_north(15)(13), West => east_2_west(15)(12), P => Signal_priority(16), Fifo_full => Fifo_full(13), 
1646South => south_2_north(16)(13), East => east_2_west(16)(13) , Grant => Signal_grant(16)(13));
1647
1648Arbiter_16_14 : Arbiter
1649
1650PORT MAP (Request => Request(46), North => south_2_north(15)(14), West => east_2_west(15)(13), P => Signal_priority(16), Fifo_full => Fifo_full(14), 
1651South => south_2_north(16)(14), East => east_2_west(16)(14) , Grant => Signal_grant(16)(14));
1652
1653Arbiter_16_15 : Arbiter
1654
1655PORT MAP (Request => Request(31), North => south_2_north(15)(15), West => east_2_west(15)(14), P => Signal_priority(16), Fifo_full => Fifo_full(15), 
1656South => south_2_north(16)(15), East => east_2_west(16)(15) , Grant => Signal_grant(16)(15));
1657
1658Arbiter_16_16 : Arbiter
1659
1660PORT MAP (Request => Request(16), North => south_2_north(15)(16), West => east_2_west(15)(15), P => Signal_priority(16), Fifo_full => Fifo_full(16), 
1661South => south_2_north(16)(16), East => east_2_west(16)(16) , Grant => Signal_grant(16)(16));
1662
1663-------------------------- Diagonale n° 17
1664
1665
1666Arbiter_17_1 : Arbiter
1667
1668PORT MAP (Request => Request(1), North => south_2_north(16)(1), West => east_2_west(16)(16), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
1669South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1670
1671Arbiter_17_2 : Arbiter
1672
1673PORT MAP (Request => Request(242), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
1674South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1675
1676Arbiter_17_3 : Arbiter
1677
1678PORT MAP (Request => Request(227), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
1679South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1680
1681Arbiter_17_4 : Arbiter
1682
1683PORT MAP (Request => Request(212), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
1684South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1685
1686Arbiter_17_5 : Arbiter
1687
1688PORT MAP (Request => Request(197), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
1689South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1690
1691Arbiter_17_6 : Arbiter
1692
1693PORT MAP (Request => Request(182), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
1694South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1695
1696Arbiter_17_7 : Arbiter
1697
1698PORT MAP (Request => Request(167), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
1699South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1700
1701Arbiter_17_8 : Arbiter
1702
1703PORT MAP (Request => Request(152), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
1704South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1705
1706Arbiter_17_9 : Arbiter
1707
1708PORT MAP (Request => Request(137), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
1709South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1710
1711Arbiter_17_10 : Arbiter
1712
1713PORT MAP (Request => Request(122), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
1714South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1715
1716Arbiter_17_11 : Arbiter
1717
1718PORT MAP (Request => Request(107), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
1719South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1720
1721Arbiter_17_12 : Arbiter
1722
1723PORT MAP (Request => Request(92), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
1724South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1725
1726Arbiter_17_13 : Arbiter
1727
1728PORT MAP (Request => Request(77), North => south_2_north(16)(13), West => east_2_west(16)(12), P => Signal_priority(15), Fifo_full => Fifo_full(13), 
1729South => south_2_north(17)(13), East => east_2_west(17)(13) , Grant => Signal_grant(17)(13));
1730
1731Arbiter_17_14 : Arbiter
1732
1733PORT MAP (Request => Request(62), North => south_2_north(16)(14), West => east_2_west(16)(13), P => Signal_priority(15), Fifo_full => Fifo_full(14), 
1734South => south_2_north(17)(14), East => east_2_west(17)(14) , Grant => Signal_grant(17)(14));
1735
1736Arbiter_17_15 : Arbiter
1737
1738PORT MAP (Request => Request(47), North => south_2_north(16)(15), West => east_2_west(16)(14), P => Signal_priority(15), Fifo_full => Fifo_full(15), 
1739South => south_2_north(17)(15), East => east_2_west(17)(15) , Grant => Signal_grant(17)(15));
1740
1741Arbiter_17_16 : Arbiter
1742
1743PORT MAP (Request => Request(32), North => south_2_north(16)(16), West => east_2_west(16)(15), P => Signal_priority(15), Fifo_full => Fifo_full(16), 
1744South => south_2_north(17)(16), East => east_2_west(17)(16) , Grant => Signal_grant(17)(16));
1745
1746-------------------------- Diagonale n° 18
1747
1748
1749Arbiter_18_1 : Arbiter
1750
1751PORT MAP (Request => Request(17), North => south_2_north(17)(1), West => east_2_west(17)(16), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
1752South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1753
1754Arbiter_18_2 : Arbiter
1755
1756PORT MAP (Request => Request(2), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
1757South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1758
1759Arbiter_18_3 : Arbiter
1760
1761PORT MAP (Request => Request(243), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
1762South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1763
1764Arbiter_18_4 : Arbiter
1765
1766PORT MAP (Request => Request(228), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
1767South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1768
1769Arbiter_18_5 : Arbiter
1770
1771PORT MAP (Request => Request(213), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
1772South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1773
1774Arbiter_18_6 : Arbiter
1775
1776PORT MAP (Request => Request(198), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
1777South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1778
1779Arbiter_18_7 : Arbiter
1780
1781PORT MAP (Request => Request(183), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
1782South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1783
1784Arbiter_18_8 : Arbiter
1785
1786PORT MAP (Request => Request(168), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
1787South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1788
1789Arbiter_18_9 : Arbiter
1790
1791PORT MAP (Request => Request(153), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
1792South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1793
1794Arbiter_18_10 : Arbiter
1795
1796PORT MAP (Request => Request(138), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
1797South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1798
1799Arbiter_18_11 : Arbiter
1800
1801PORT MAP (Request => Request(123), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
1802South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1803
1804Arbiter_18_12 : Arbiter
1805
1806PORT MAP (Request => Request(108), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
1807South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1808
1809Arbiter_18_13 : Arbiter
1810
1811PORT MAP (Request => Request(93), North => south_2_north(17)(13), West => east_2_west(17)(12), P => Signal_priority(14), Fifo_full => Fifo_full(13), 
1812South => south_2_north(18)(13), East => east_2_west(18)(13) , Grant => Signal_grant(18)(13));
1813
1814Arbiter_18_14 : Arbiter
1815
1816PORT MAP (Request => Request(78), North => south_2_north(17)(14), West => east_2_west(17)(13), P => Signal_priority(14), Fifo_full => Fifo_full(14), 
1817South => south_2_north(18)(14), East => east_2_west(18)(14) , Grant => Signal_grant(18)(14));
1818
1819Arbiter_18_15 : Arbiter
1820
1821PORT MAP (Request => Request(63), North => south_2_north(17)(15), West => east_2_west(17)(14), P => Signal_priority(14), Fifo_full => Fifo_full(15), 
1822South => south_2_north(18)(15), East => east_2_west(18)(15) , Grant => Signal_grant(18)(15));
1823
1824Arbiter_18_16 : Arbiter
1825
1826PORT MAP (Request => Request(48), North => south_2_north(17)(16), West => east_2_west(17)(15), P => Signal_priority(14), Fifo_full => Fifo_full(16), 
1827South => south_2_north(18)(16), East => east_2_west(18)(16) , Grant => Signal_grant(18)(16));
1828
1829-------------------------- Diagonale n° 19
1830
1831
1832Arbiter_19_1 : Arbiter
1833
1834PORT MAP (Request => Request(33), North => south_2_north(18)(1), West => east_2_west(18)(16), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
1835South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1836
1837Arbiter_19_2 : Arbiter
1838
1839PORT MAP (Request => Request(18), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
1840South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1841
1842Arbiter_19_3 : Arbiter
1843
1844PORT MAP (Request => Request(3), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
1845South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1846
1847Arbiter_19_4 : Arbiter
1848
1849PORT MAP (Request => Request(244), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
1850South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1851
1852Arbiter_19_5 : Arbiter
1853
1854PORT MAP (Request => Request(229), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
1855South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1856
1857Arbiter_19_6 : Arbiter
1858
1859PORT MAP (Request => Request(214), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
1860South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1861
1862Arbiter_19_7 : Arbiter
1863
1864PORT MAP (Request => Request(199), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
1865South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1866
1867Arbiter_19_8 : Arbiter
1868
1869PORT MAP (Request => Request(184), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
1870South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1871
1872Arbiter_19_9 : Arbiter
1873
1874PORT MAP (Request => Request(169), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
1875South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1876
1877Arbiter_19_10 : Arbiter
1878
1879PORT MAP (Request => Request(154), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
1880South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1881
1882Arbiter_19_11 : Arbiter
1883
1884PORT MAP (Request => Request(139), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
1885South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1886
1887Arbiter_19_12 : Arbiter
1888
1889PORT MAP (Request => Request(124), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
1890South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1891
1892Arbiter_19_13 : Arbiter
1893
1894PORT MAP (Request => Request(109), North => south_2_north(18)(13), West => east_2_west(18)(12), P => Signal_priority(13), Fifo_full => Fifo_full(13), 
1895South => south_2_north(19)(13), East => east_2_west(19)(13) , Grant => Signal_grant(19)(13));
1896
1897Arbiter_19_14 : Arbiter
1898
1899PORT MAP (Request => Request(94), North => south_2_north(18)(14), West => east_2_west(18)(13), P => Signal_priority(13), Fifo_full => Fifo_full(14), 
1900South => south_2_north(19)(14), East => east_2_west(19)(14) , Grant => Signal_grant(19)(14));
1901
1902Arbiter_19_15 : Arbiter
1903
1904PORT MAP (Request => Request(79), North => south_2_north(18)(15), West => east_2_west(18)(14), P => Signal_priority(13), Fifo_full => Fifo_full(15), 
1905South => south_2_north(19)(15), East => east_2_west(19)(15) , Grant => Signal_grant(19)(15));
1906
1907Arbiter_19_16 : Arbiter
1908
1909PORT MAP (Request => Request(64), North => south_2_north(18)(16), West => east_2_west(18)(15), P => Signal_priority(13), Fifo_full => Fifo_full(16), 
1910South => south_2_north(19)(16), East => east_2_west(19)(16) , Grant => Signal_grant(19)(16));
1911
1912-------------------------- Diagonale n° 20
1913
1914
1915Arbiter_20_1 : Arbiter
1916
1917PORT MAP (Request => Request(49), North => south_2_north(19)(1), West => east_2_west(19)(16), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
1918South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1919
1920Arbiter_20_2 : Arbiter
1921
1922PORT MAP (Request => Request(34), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
1923South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1924
1925Arbiter_20_3 : Arbiter
1926
1927PORT MAP (Request => Request(19), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
1928South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1929
1930Arbiter_20_4 : Arbiter
1931
1932PORT MAP (Request => Request(4), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
1933South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1934
1935Arbiter_20_5 : Arbiter
1936
1937PORT MAP (Request => Request(245), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
1938South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1939
1940Arbiter_20_6 : Arbiter
1941
1942PORT MAP (Request => Request(230), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
1943South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1944
1945Arbiter_20_7 : Arbiter
1946
1947PORT MAP (Request => Request(215), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
1948South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1949
1950Arbiter_20_8 : Arbiter
1951
1952PORT MAP (Request => Request(200), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
1953South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1954
1955Arbiter_20_9 : Arbiter
1956
1957PORT MAP (Request => Request(185), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
1958South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1959
1960Arbiter_20_10 : Arbiter
1961
1962PORT MAP (Request => Request(170), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
1963South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1964
1965Arbiter_20_11 : Arbiter
1966
1967PORT MAP (Request => Request(155), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
1968South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1969
1970Arbiter_20_12 : Arbiter
1971
1972PORT MAP (Request => Request(140), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
1973South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1974
1975Arbiter_20_13 : Arbiter
1976
1977PORT MAP (Request => Request(125), North => south_2_north(19)(13), West => east_2_west(19)(12), P => Signal_priority(12), Fifo_full => Fifo_full(13), 
1978South => south_2_north(20)(13), East => east_2_west(20)(13) , Grant => Signal_grant(20)(13));
1979
1980Arbiter_20_14 : Arbiter
1981
1982PORT MAP (Request => Request(110), North => south_2_north(19)(14), West => east_2_west(19)(13), P => Signal_priority(12), Fifo_full => Fifo_full(14), 
1983South => south_2_north(20)(14), East => east_2_west(20)(14) , Grant => Signal_grant(20)(14));
1984
1985Arbiter_20_15 : Arbiter
1986
1987PORT MAP (Request => Request(95), North => south_2_north(19)(15), West => east_2_west(19)(14), P => Signal_priority(12), Fifo_full => Fifo_full(15), 
1988South => south_2_north(20)(15), East => east_2_west(20)(15) , Grant => Signal_grant(20)(15));
1989
1990Arbiter_20_16 : Arbiter
1991
1992PORT MAP (Request => Request(80), North => south_2_north(19)(16), West => east_2_west(19)(15), P => Signal_priority(12), Fifo_full => Fifo_full(16), 
1993South => south_2_north(20)(16), East => east_2_west(20)(16) , Grant => Signal_grant(20)(16));
1994
1995-------------------------- Diagonale n° 21
1996
1997
1998Arbiter_21_1 : Arbiter
1999
2000PORT MAP (Request => Request(65), North => south_2_north(20)(1), West => east_2_west(20)(16), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
2001South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
2002
2003Arbiter_21_2 : Arbiter
2004
2005PORT MAP (Request => Request(50), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
2006South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
2007
2008Arbiter_21_3 : Arbiter
2009
2010PORT MAP (Request => Request(35), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
2011South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
2012
2013Arbiter_21_4 : Arbiter
2014
2015PORT MAP (Request => Request(20), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
2016South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
2017
2018Arbiter_21_5 : Arbiter
2019
2020PORT MAP (Request => Request(5), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
2021South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
2022
2023Arbiter_21_6 : Arbiter
2024
2025PORT MAP (Request => Request(246), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
2026South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
2027
2028Arbiter_21_7 : Arbiter
2029
2030PORT MAP (Request => Request(231), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
2031South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
2032
2033Arbiter_21_8 : Arbiter
2034
2035PORT MAP (Request => Request(216), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
2036South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
2037
2038Arbiter_21_9 : Arbiter
2039
2040PORT MAP (Request => Request(201), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
2041South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
2042
2043Arbiter_21_10 : Arbiter
2044
2045PORT MAP (Request => Request(186), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
2046South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
2047
2048Arbiter_21_11 : Arbiter
2049
2050PORT MAP (Request => Request(171), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
2051South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
2052
2053Arbiter_21_12 : Arbiter
2054
2055PORT MAP (Request => Request(156), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
2056South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
2057
2058Arbiter_21_13 : Arbiter
2059
2060PORT MAP (Request => Request(141), North => south_2_north(20)(13), West => east_2_west(20)(12), P => Signal_priority(11), Fifo_full => Fifo_full(13), 
2061South => south_2_north(21)(13), East => east_2_west(21)(13) , Grant => Signal_grant(21)(13));
2062
2063Arbiter_21_14 : Arbiter
2064
2065PORT MAP (Request => Request(126), North => south_2_north(20)(14), West => east_2_west(20)(13), P => Signal_priority(11), Fifo_full => Fifo_full(14), 
2066South => south_2_north(21)(14), East => east_2_west(21)(14) , Grant => Signal_grant(21)(14));
2067
2068Arbiter_21_15 : Arbiter
2069
2070PORT MAP (Request => Request(111), North => south_2_north(20)(15), West => east_2_west(20)(14), P => Signal_priority(11), Fifo_full => Fifo_full(15), 
2071South => south_2_north(21)(15), East => east_2_west(21)(15) , Grant => Signal_grant(21)(15));
2072
2073Arbiter_21_16 : Arbiter
2074
2075PORT MAP (Request => Request(96), North => south_2_north(20)(16), West => east_2_west(20)(15), P => Signal_priority(11), Fifo_full => Fifo_full(16), 
2076South => south_2_north(21)(16), East => east_2_west(21)(16) , Grant => Signal_grant(21)(16));
2077
2078-------------------------- Diagonale n° 22
2079
2080
2081Arbiter_22_1 : Arbiter
2082
2083PORT MAP (Request => Request(81), North => south_2_north(21)(1), West => east_2_west(21)(16), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
2084South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
2085
2086Arbiter_22_2 : Arbiter
2087
2088PORT MAP (Request => Request(66), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
2089South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
2090
2091Arbiter_22_3 : Arbiter
2092
2093PORT MAP (Request => Request(51), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
2094South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
2095
2096Arbiter_22_4 : Arbiter
2097
2098PORT MAP (Request => Request(36), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
2099South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
2100
2101Arbiter_22_5 : Arbiter
2102
2103PORT MAP (Request => Request(21), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
2104South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
2105
2106Arbiter_22_6 : Arbiter
2107
2108PORT MAP (Request => Request(6), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
2109South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
2110
2111Arbiter_22_7 : Arbiter
2112
2113PORT MAP (Request => Request(247), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
2114South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
2115
2116Arbiter_22_8 : Arbiter
2117
2118PORT MAP (Request => Request(232), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
2119South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
2120
2121Arbiter_22_9 : Arbiter
2122
2123PORT MAP (Request => Request(217), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
2124South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
2125
2126Arbiter_22_10 : Arbiter
2127
2128PORT MAP (Request => Request(202), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
2129South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
2130
2131Arbiter_22_11 : Arbiter
2132
2133PORT MAP (Request => Request(187), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
2134South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
2135
2136Arbiter_22_12 : Arbiter
2137
2138PORT MAP (Request => Request(172), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
2139South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
2140
2141Arbiter_22_13 : Arbiter
2142
2143PORT MAP (Request => Request(157), North => south_2_north(21)(13), West => east_2_west(21)(12), P => Signal_priority(10), Fifo_full => Fifo_full(13), 
2144South => south_2_north(22)(13), East => east_2_west(22)(13) , Grant => Signal_grant(22)(13));
2145
2146Arbiter_22_14 : Arbiter
2147
2148PORT MAP (Request => Request(142), North => south_2_north(21)(14), West => east_2_west(21)(13), P => Signal_priority(10), Fifo_full => Fifo_full(14), 
2149South => south_2_north(22)(14), East => east_2_west(22)(14) , Grant => Signal_grant(22)(14));
2150
2151Arbiter_22_15 : Arbiter
2152
2153PORT MAP (Request => Request(127), North => south_2_north(21)(15), West => east_2_west(21)(14), P => Signal_priority(10), Fifo_full => Fifo_full(15), 
2154South => south_2_north(22)(15), East => east_2_west(22)(15) , Grant => Signal_grant(22)(15));
2155
2156Arbiter_22_16 : Arbiter
2157
2158PORT MAP (Request => Request(112), North => south_2_north(21)(16), West => east_2_west(21)(15), P => Signal_priority(10), Fifo_full => Fifo_full(16), 
2159South => south_2_north(22)(16), East => east_2_west(22)(16) , Grant => Signal_grant(22)(16));
2160
2161-------------------------- Diagonale n° 23
2162
2163
2164Arbiter_23_1 : Arbiter
2165
2166PORT MAP (Request => Request(97), North => south_2_north(22)(1), West => east_2_west(22)(16), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
2167South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
2168
2169Arbiter_23_2 : Arbiter
2170
2171PORT MAP (Request => Request(82), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
2172South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
2173
2174Arbiter_23_3 : Arbiter
2175
2176PORT MAP (Request => Request(67), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
2177South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
2178
2179Arbiter_23_4 : Arbiter
2180
2181PORT MAP (Request => Request(52), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
2182South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
2183
2184Arbiter_23_5 : Arbiter
2185
2186PORT MAP (Request => Request(37), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
2187South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
2188
2189Arbiter_23_6 : Arbiter
2190
2191PORT MAP (Request => Request(22), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
2192South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
2193
2194Arbiter_23_7 : Arbiter
2195
2196PORT MAP (Request => Request(7), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
2197South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
2198
2199Arbiter_23_8 : Arbiter
2200
2201PORT MAP (Request => Request(248), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
2202South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
2203
2204Arbiter_23_9 : Arbiter
2205
2206PORT MAP (Request => Request(233), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
2207South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
2208
2209Arbiter_23_10 : Arbiter
2210
2211PORT MAP (Request => Request(218), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
2212South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
2213
2214Arbiter_23_11 : Arbiter
2215
2216PORT MAP (Request => Request(203), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
2217South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
2218
2219Arbiter_23_12 : Arbiter
2220
2221PORT MAP (Request => Request(188), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
2222South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
2223
2224Arbiter_23_13 : Arbiter
2225
2226PORT MAP (Request => Request(173), North => south_2_north(22)(13), West => east_2_west(22)(12), P => Signal_priority(9), Fifo_full => Fifo_full(13), 
2227South => south_2_north(23)(13), East => east_2_west(23)(13) , Grant => Signal_grant(23)(13));
2228
2229Arbiter_23_14 : Arbiter
2230
2231PORT MAP (Request => Request(158), North => south_2_north(22)(14), West => east_2_west(22)(13), P => Signal_priority(9), Fifo_full => Fifo_full(14), 
2232South => south_2_north(23)(14), East => east_2_west(23)(14) , Grant => Signal_grant(23)(14));
2233
2234Arbiter_23_15 : Arbiter
2235
2236PORT MAP (Request => Request(143), North => south_2_north(22)(15), West => east_2_west(22)(14), P => Signal_priority(9), Fifo_full => Fifo_full(15), 
2237South => south_2_north(23)(15), East => east_2_west(23)(15) , Grant => Signal_grant(23)(15));
2238
2239Arbiter_23_16 : Arbiter
2240
2241PORT MAP (Request => Request(128), North => south_2_north(22)(16), West => east_2_west(22)(15), P => Signal_priority(9), Fifo_full => Fifo_full(16), 
2242South => south_2_north(23)(16), East => east_2_west(23)(16) , Grant => Signal_grant(23)(16));
2243
2244-------------------------- Diagonale n° 24
2245
2246
2247Arbiter_24_1 : Arbiter
2248
2249PORT MAP (Request => Request(113), North => south_2_north(23)(1), West => east_2_west(23)(16), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
2250South => south_2_north(24)(1), East => east_2_west(24)(1) , Grant => Signal_grant(24)(1));
2251
2252Arbiter_24_2 : Arbiter
2253
2254PORT MAP (Request => Request(98), North => south_2_north(23)(2), West => east_2_west(23)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
2255South => south_2_north(24)(2), East => east_2_west(24)(2) , Grant => Signal_grant(24)(2));
2256
2257Arbiter_24_3 : Arbiter
2258
2259PORT MAP (Request => Request(83), North => south_2_north(23)(3), West => east_2_west(23)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
2260South => south_2_north(24)(3), East => east_2_west(24)(3) , Grant => Signal_grant(24)(3));
2261
2262Arbiter_24_4 : Arbiter
2263
2264PORT MAP (Request => Request(68), North => south_2_north(23)(4), West => east_2_west(23)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
2265South => south_2_north(24)(4), East => east_2_west(24)(4) , Grant => Signal_grant(24)(4));
2266
2267Arbiter_24_5 : Arbiter
2268
2269PORT MAP (Request => Request(53), North => south_2_north(23)(5), West => east_2_west(23)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
2270South => south_2_north(24)(5), East => east_2_west(24)(5) , Grant => Signal_grant(24)(5));
2271
2272Arbiter_24_6 : Arbiter
2273
2274PORT MAP (Request => Request(38), North => south_2_north(23)(6), West => east_2_west(23)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
2275South => south_2_north(24)(6), East => east_2_west(24)(6) , Grant => Signal_grant(24)(6));
2276
2277Arbiter_24_7 : Arbiter
2278
2279PORT MAP (Request => Request(23), North => south_2_north(23)(7), West => east_2_west(23)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
2280South => south_2_north(24)(7), East => east_2_west(24)(7) , Grant => Signal_grant(24)(7));
2281
2282Arbiter_24_8 : Arbiter
2283
2284PORT MAP (Request => Request(8), North => south_2_north(23)(8), West => east_2_west(23)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
2285South => south_2_north(24)(8), East => east_2_west(24)(8) , Grant => Signal_grant(24)(8));
2286
2287Arbiter_24_9 : Arbiter
2288
2289PORT MAP (Request => Request(249), North => south_2_north(23)(9), West => east_2_west(23)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
2290South => south_2_north(24)(9), East => east_2_west(24)(9) , Grant => Signal_grant(24)(9));
2291
2292Arbiter_24_10 : Arbiter
2293
2294PORT MAP (Request => Request(234), North => south_2_north(23)(10), West => east_2_west(23)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
2295South => south_2_north(24)(10), East => east_2_west(24)(10) , Grant => Signal_grant(24)(10));
2296
2297Arbiter_24_11 : Arbiter
2298
2299PORT MAP (Request => Request(219), North => south_2_north(23)(11), West => east_2_west(23)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
2300South => south_2_north(24)(11), East => east_2_west(24)(11) , Grant => Signal_grant(24)(11));
2301
2302Arbiter_24_12 : Arbiter
2303
2304PORT MAP (Request => Request(204), North => south_2_north(23)(12), West => east_2_west(23)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
2305South => south_2_north(24)(12), East => east_2_west(24)(12) , Grant => Signal_grant(24)(12));
2306
2307Arbiter_24_13 : Arbiter
2308
2309PORT MAP (Request => Request(189), North => south_2_north(23)(13), West => east_2_west(23)(12), P => Signal_priority(8), Fifo_full => Fifo_full(13), 
2310South => south_2_north(24)(13), East => east_2_west(24)(13) , Grant => Signal_grant(24)(13));
2311
2312Arbiter_24_14 : Arbiter
2313
2314PORT MAP (Request => Request(174), North => south_2_north(23)(14), West => east_2_west(23)(13), P => Signal_priority(8), Fifo_full => Fifo_full(14), 
2315South => south_2_north(24)(14), East => east_2_west(24)(14) , Grant => Signal_grant(24)(14));
2316
2317Arbiter_24_15 : Arbiter
2318
2319PORT MAP (Request => Request(159), North => south_2_north(23)(15), West => east_2_west(23)(14), P => Signal_priority(8), Fifo_full => Fifo_full(15), 
2320South => south_2_north(24)(15), East => east_2_west(24)(15) , Grant => Signal_grant(24)(15));
2321
2322Arbiter_24_16 : Arbiter
2323
2324PORT MAP (Request => Request(144), North => south_2_north(23)(16), West => east_2_west(23)(15), P => Signal_priority(8), Fifo_full => Fifo_full(16), 
2325South => south_2_north(24)(16), East => east_2_west(24)(16) , Grant => Signal_grant(24)(16));
2326
2327-------------------------- Diagonale n° 25
2328
2329
2330Arbiter_25_1 : Arbiter
2331
2332PORT MAP (Request => Request(129), North => south_2_north(24)(1), West => east_2_west(24)(16), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
2333South => south_2_north(25)(1), East => east_2_west(25)(1) , Grant => Signal_grant(25)(1));
2334
2335Arbiter_25_2 : Arbiter
2336
2337PORT MAP (Request => Request(114), North => south_2_north(24)(2), West => east_2_west(24)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
2338South => south_2_north(25)(2), East => east_2_west(25)(2) , Grant => Signal_grant(25)(2));
2339
2340Arbiter_25_3 : Arbiter
2341
2342PORT MAP (Request => Request(99), North => south_2_north(24)(3), West => east_2_west(24)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
2343South => south_2_north(25)(3), East => east_2_west(25)(3) , Grant => Signal_grant(25)(3));
2344
2345Arbiter_25_4 : Arbiter
2346
2347PORT MAP (Request => Request(84), North => south_2_north(24)(4), West => east_2_west(24)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
2348South => south_2_north(25)(4), East => east_2_west(25)(4) , Grant => Signal_grant(25)(4));
2349
2350Arbiter_25_5 : Arbiter
2351
2352PORT MAP (Request => Request(69), North => south_2_north(24)(5), West => east_2_west(24)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
2353South => south_2_north(25)(5), East => east_2_west(25)(5) , Grant => Signal_grant(25)(5));
2354
2355Arbiter_25_6 : Arbiter
2356
2357PORT MAP (Request => Request(54), North => south_2_north(24)(6), West => east_2_west(24)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
2358South => south_2_north(25)(6), East => east_2_west(25)(6) , Grant => Signal_grant(25)(6));
2359
2360Arbiter_25_7 : Arbiter
2361
2362PORT MAP (Request => Request(39), North => south_2_north(24)(7), West => east_2_west(24)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
2363South => south_2_north(25)(7), East => east_2_west(25)(7) , Grant => Signal_grant(25)(7));
2364
2365Arbiter_25_8 : Arbiter
2366
2367PORT MAP (Request => Request(24), North => south_2_north(24)(8), West => east_2_west(24)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
2368South => south_2_north(25)(8), East => east_2_west(25)(8) , Grant => Signal_grant(25)(8));
2369
2370Arbiter_25_9 : Arbiter
2371
2372PORT MAP (Request => Request(9), North => south_2_north(24)(9), West => east_2_west(24)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
2373South => south_2_north(25)(9), East => east_2_west(25)(9) , Grant => Signal_grant(25)(9));
2374
2375Arbiter_25_10 : Arbiter
2376
2377PORT MAP (Request => Request(250), North => south_2_north(24)(10), West => east_2_west(24)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
2378South => south_2_north(25)(10), East => east_2_west(25)(10) , Grant => Signal_grant(25)(10));
2379
2380Arbiter_25_11 : Arbiter
2381
2382PORT MAP (Request => Request(235), North => south_2_north(24)(11), West => east_2_west(24)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
2383South => south_2_north(25)(11), East => east_2_west(25)(11) , Grant => Signal_grant(25)(11));
2384
2385Arbiter_25_12 : Arbiter
2386
2387PORT MAP (Request => Request(220), North => south_2_north(24)(12), West => east_2_west(24)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
2388South => south_2_north(25)(12), East => east_2_west(25)(12) , Grant => Signal_grant(25)(12));
2389
2390Arbiter_25_13 : Arbiter
2391
2392PORT MAP (Request => Request(205), North => south_2_north(24)(13), West => east_2_west(24)(12), P => Signal_priority(7), Fifo_full => Fifo_full(13), 
2393South => south_2_north(25)(13), East => east_2_west(25)(13) , Grant => Signal_grant(25)(13));
2394
2395Arbiter_25_14 : Arbiter
2396
2397PORT MAP (Request => Request(190), North => south_2_north(24)(14), West => east_2_west(24)(13), P => Signal_priority(7), Fifo_full => Fifo_full(14), 
2398South => south_2_north(25)(14), East => east_2_west(25)(14) , Grant => Signal_grant(25)(14));
2399
2400Arbiter_25_15 : Arbiter
2401
2402PORT MAP (Request => Request(175), North => south_2_north(24)(15), West => east_2_west(24)(14), P => Signal_priority(7), Fifo_full => Fifo_full(15), 
2403South => south_2_north(25)(15), East => east_2_west(25)(15) , Grant => Signal_grant(25)(15));
2404
2405Arbiter_25_16 : Arbiter
2406
2407PORT MAP (Request => Request(160), North => south_2_north(24)(16), West => east_2_west(24)(15), P => Signal_priority(7), Fifo_full => Fifo_full(16), 
2408South => south_2_north(25)(16), East => east_2_west(25)(16) , Grant => Signal_grant(25)(16));
2409
2410-------------------------- Diagonale n° 26
2411
2412
2413Arbiter_26_1 : Arbiter
2414
2415PORT MAP (Request => Request(145), North => south_2_north(25)(1), West => east_2_west(25)(16), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
2416South => south_2_north(26)(1), East => east_2_west(26)(1) , Grant => Signal_grant(26)(1));
2417
2418Arbiter_26_2 : Arbiter
2419
2420PORT MAP (Request => Request(130), North => south_2_north(25)(2), West => east_2_west(25)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
2421South => south_2_north(26)(2), East => east_2_west(26)(2) , Grant => Signal_grant(26)(2));
2422
2423Arbiter_26_3 : Arbiter
2424
2425PORT MAP (Request => Request(115), North => south_2_north(25)(3), West => east_2_west(25)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
2426South => south_2_north(26)(3), East => east_2_west(26)(3) , Grant => Signal_grant(26)(3));
2427
2428Arbiter_26_4 : Arbiter
2429
2430PORT MAP (Request => Request(100), North => south_2_north(25)(4), West => east_2_west(25)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
2431South => south_2_north(26)(4), East => east_2_west(26)(4) , Grant => Signal_grant(26)(4));
2432
2433Arbiter_26_5 : Arbiter
2434
2435PORT MAP (Request => Request(85), North => south_2_north(25)(5), West => east_2_west(25)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
2436South => south_2_north(26)(5), East => east_2_west(26)(5) , Grant => Signal_grant(26)(5));
2437
2438Arbiter_26_6 : Arbiter
2439
2440PORT MAP (Request => Request(70), North => south_2_north(25)(6), West => east_2_west(25)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
2441South => south_2_north(26)(6), East => east_2_west(26)(6) , Grant => Signal_grant(26)(6));
2442
2443Arbiter_26_7 : Arbiter
2444
2445PORT MAP (Request => Request(55), North => south_2_north(25)(7), West => east_2_west(25)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
2446South => south_2_north(26)(7), East => east_2_west(26)(7) , Grant => Signal_grant(26)(7));
2447
2448Arbiter_26_8 : Arbiter
2449
2450PORT MAP (Request => Request(40), North => south_2_north(25)(8), West => east_2_west(25)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
2451South => south_2_north(26)(8), East => east_2_west(26)(8) , Grant => Signal_grant(26)(8));
2452
2453Arbiter_26_9 : Arbiter
2454
2455PORT MAP (Request => Request(25), North => south_2_north(25)(9), West => east_2_west(25)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
2456South => south_2_north(26)(9), East => east_2_west(26)(9) , Grant => Signal_grant(26)(9));
2457
2458Arbiter_26_10 : Arbiter
2459
2460PORT MAP (Request => Request(10), North => south_2_north(25)(10), West => east_2_west(25)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
2461South => south_2_north(26)(10), East => east_2_west(26)(10) , Grant => Signal_grant(26)(10));
2462
2463Arbiter_26_11 : Arbiter
2464
2465PORT MAP (Request => Request(251), North => south_2_north(25)(11), West => east_2_west(25)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
2466South => south_2_north(26)(11), East => east_2_west(26)(11) , Grant => Signal_grant(26)(11));
2467
2468Arbiter_26_12 : Arbiter
2469
2470PORT MAP (Request => Request(236), North => south_2_north(25)(12), West => east_2_west(25)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
2471South => south_2_north(26)(12), East => east_2_west(26)(12) , Grant => Signal_grant(26)(12));
2472
2473Arbiter_26_13 : Arbiter
2474
2475PORT MAP (Request => Request(221), North => south_2_north(25)(13), West => east_2_west(25)(12), P => Signal_priority(6), Fifo_full => Fifo_full(13), 
2476South => south_2_north(26)(13), East => east_2_west(26)(13) , Grant => Signal_grant(26)(13));
2477
2478Arbiter_26_14 : Arbiter
2479
2480PORT MAP (Request => Request(206), North => south_2_north(25)(14), West => east_2_west(25)(13), P => Signal_priority(6), Fifo_full => Fifo_full(14), 
2481South => south_2_north(26)(14), East => east_2_west(26)(14) , Grant => Signal_grant(26)(14));
2482
2483Arbiter_26_15 : Arbiter
2484
2485PORT MAP (Request => Request(191), North => south_2_north(25)(15), West => east_2_west(25)(14), P => Signal_priority(6), Fifo_full => Fifo_full(15), 
2486South => south_2_north(26)(15), East => east_2_west(26)(15) , Grant => Signal_grant(26)(15));
2487
2488Arbiter_26_16 : Arbiter
2489
2490PORT MAP (Request => Request(176), North => south_2_north(25)(16), West => east_2_west(25)(15), P => Signal_priority(6), Fifo_full => Fifo_full(16), 
2491South => south_2_north(26)(16), East => east_2_west(26)(16) , Grant => Signal_grant(26)(16));
2492
2493-------------------------- Diagonale n° 27
2494
2495
2496Arbiter_27_1 : Arbiter
2497
2498PORT MAP (Request => Request(161), North => south_2_north(26)(1), West => east_2_west(26)(16), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
2499South => south_2_north(27)(1), East => east_2_west(27)(1) , Grant => Signal_grant(27)(1));
2500
2501Arbiter_27_2 : Arbiter
2502
2503PORT MAP (Request => Request(146), North => south_2_north(26)(2), West => east_2_west(26)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
2504South => south_2_north(27)(2), East => east_2_west(27)(2) , Grant => Signal_grant(27)(2));
2505
2506Arbiter_27_3 : Arbiter
2507
2508PORT MAP (Request => Request(131), North => south_2_north(26)(3), West => east_2_west(26)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
2509South => south_2_north(27)(3), East => east_2_west(27)(3) , Grant => Signal_grant(27)(3));
2510
2511Arbiter_27_4 : Arbiter
2512
2513PORT MAP (Request => Request(116), North => south_2_north(26)(4), West => east_2_west(26)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
2514South => south_2_north(27)(4), East => east_2_west(27)(4) , Grant => Signal_grant(27)(4));
2515
2516Arbiter_27_5 : Arbiter
2517
2518PORT MAP (Request => Request(101), North => south_2_north(26)(5), West => east_2_west(26)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
2519South => south_2_north(27)(5), East => east_2_west(27)(5) , Grant => Signal_grant(27)(5));
2520
2521Arbiter_27_6 : Arbiter
2522
2523PORT MAP (Request => Request(86), North => south_2_north(26)(6), West => east_2_west(26)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
2524South => south_2_north(27)(6), East => east_2_west(27)(6) , Grant => Signal_grant(27)(6));
2525
2526Arbiter_27_7 : Arbiter
2527
2528PORT MAP (Request => Request(71), North => south_2_north(26)(7), West => east_2_west(26)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
2529South => south_2_north(27)(7), East => east_2_west(27)(7) , Grant => Signal_grant(27)(7));
2530
2531Arbiter_27_8 : Arbiter
2532
2533PORT MAP (Request => Request(56), North => south_2_north(26)(8), West => east_2_west(26)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
2534South => south_2_north(27)(8), East => east_2_west(27)(8) , Grant => Signal_grant(27)(8));
2535
2536Arbiter_27_9 : Arbiter
2537
2538PORT MAP (Request => Request(41), North => south_2_north(26)(9), West => east_2_west(26)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
2539South => south_2_north(27)(9), East => east_2_west(27)(9) , Grant => Signal_grant(27)(9));
2540
2541Arbiter_27_10 : Arbiter
2542
2543PORT MAP (Request => Request(26), North => south_2_north(26)(10), West => east_2_west(26)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
2544South => south_2_north(27)(10), East => east_2_west(27)(10) , Grant => Signal_grant(27)(10));
2545
2546Arbiter_27_11 : Arbiter
2547
2548PORT MAP (Request => Request(11), North => south_2_north(26)(11), West => east_2_west(26)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
2549South => south_2_north(27)(11), East => east_2_west(27)(11) , Grant => Signal_grant(27)(11));
2550
2551Arbiter_27_12 : Arbiter
2552
2553PORT MAP (Request => Request(252), North => south_2_north(26)(12), West => east_2_west(26)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
2554South => south_2_north(27)(12), East => east_2_west(27)(12) , Grant => Signal_grant(27)(12));
2555
2556Arbiter_27_13 : Arbiter
2557
2558PORT MAP (Request => Request(237), North => south_2_north(26)(13), West => east_2_west(26)(12), P => Signal_priority(5), Fifo_full => Fifo_full(13), 
2559South => south_2_north(27)(13), East => east_2_west(27)(13) , Grant => Signal_grant(27)(13));
2560
2561Arbiter_27_14 : Arbiter
2562
2563PORT MAP (Request => Request(222), North => south_2_north(26)(14), West => east_2_west(26)(13), P => Signal_priority(5), Fifo_full => Fifo_full(14), 
2564South => south_2_north(27)(14), East => east_2_west(27)(14) , Grant => Signal_grant(27)(14));
2565
2566Arbiter_27_15 : Arbiter
2567
2568PORT MAP (Request => Request(207), North => south_2_north(26)(15), West => east_2_west(26)(14), P => Signal_priority(5), Fifo_full => Fifo_full(15), 
2569South => south_2_north(27)(15), East => east_2_west(27)(15) , Grant => Signal_grant(27)(15));
2570
2571Arbiter_27_16 : Arbiter
2572
2573PORT MAP (Request => Request(192), North => south_2_north(26)(16), West => east_2_west(26)(15), P => Signal_priority(5), Fifo_full => Fifo_full(16), 
2574South => south_2_north(27)(16), East => east_2_west(27)(16) , Grant => Signal_grant(27)(16));
2575
2576-------------------------- Diagonale n° 28
2577
2578
2579Arbiter_28_1 : Arbiter
2580
2581PORT MAP (Request => Request(177), North => south_2_north(27)(1), West => east_2_west(27)(16), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
2582South => south_2_north(28)(1), East => east_2_west(28)(1) , Grant => Signal_grant(28)(1));
2583
2584Arbiter_28_2 : Arbiter
2585
2586PORT MAP (Request => Request(162), North => south_2_north(27)(2), West => east_2_west(27)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
2587South => south_2_north(28)(2), East => east_2_west(28)(2) , Grant => Signal_grant(28)(2));
2588
2589Arbiter_28_3 : Arbiter
2590
2591PORT MAP (Request => Request(147), North => south_2_north(27)(3), West => east_2_west(27)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
2592South => south_2_north(28)(3), East => east_2_west(28)(3) , Grant => Signal_grant(28)(3));
2593
2594Arbiter_28_4 : Arbiter
2595
2596PORT MAP (Request => Request(132), North => south_2_north(27)(4), West => east_2_west(27)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
2597South => south_2_north(28)(4), East => east_2_west(28)(4) , Grant => Signal_grant(28)(4));
2598
2599Arbiter_28_5 : Arbiter
2600
2601PORT MAP (Request => Request(117), North => south_2_north(27)(5), West => east_2_west(27)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
2602South => south_2_north(28)(5), East => east_2_west(28)(5) , Grant => Signal_grant(28)(5));
2603
2604Arbiter_28_6 : Arbiter
2605
2606PORT MAP (Request => Request(102), North => south_2_north(27)(6), West => east_2_west(27)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
2607South => south_2_north(28)(6), East => east_2_west(28)(6) , Grant => Signal_grant(28)(6));
2608
2609Arbiter_28_7 : Arbiter
2610
2611PORT MAP (Request => Request(87), North => south_2_north(27)(7), West => east_2_west(27)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
2612South => south_2_north(28)(7), East => east_2_west(28)(7) , Grant => Signal_grant(28)(7));
2613
2614Arbiter_28_8 : Arbiter
2615
2616PORT MAP (Request => Request(72), North => south_2_north(27)(8), West => east_2_west(27)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
2617South => south_2_north(28)(8), East => east_2_west(28)(8) , Grant => Signal_grant(28)(8));
2618
2619Arbiter_28_9 : Arbiter
2620
2621PORT MAP (Request => Request(57), North => south_2_north(27)(9), West => east_2_west(27)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
2622South => south_2_north(28)(9), East => east_2_west(28)(9) , Grant => Signal_grant(28)(9));
2623
2624Arbiter_28_10 : Arbiter
2625
2626PORT MAP (Request => Request(42), North => south_2_north(27)(10), West => east_2_west(27)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
2627South => south_2_north(28)(10), East => east_2_west(28)(10) , Grant => Signal_grant(28)(10));
2628
2629Arbiter_28_11 : Arbiter
2630
2631PORT MAP (Request => Request(27), North => south_2_north(27)(11), West => east_2_west(27)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
2632South => south_2_north(28)(11), East => east_2_west(28)(11) , Grant => Signal_grant(28)(11));
2633
2634Arbiter_28_12 : Arbiter
2635
2636PORT MAP (Request => Request(12), North => south_2_north(27)(12), West => east_2_west(27)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
2637South => south_2_north(28)(12), East => east_2_west(28)(12) , Grant => Signal_grant(28)(12));
2638
2639Arbiter_28_13 : Arbiter
2640
2641PORT MAP (Request => Request(253), North => south_2_north(27)(13), West => east_2_west(27)(12), P => Signal_priority(4), Fifo_full => Fifo_full(13), 
2642South => south_2_north(28)(13), East => east_2_west(28)(13) , Grant => Signal_grant(28)(13));
2643
2644Arbiter_28_14 : Arbiter
2645
2646PORT MAP (Request => Request(238), North => south_2_north(27)(14), West => east_2_west(27)(13), P => Signal_priority(4), Fifo_full => Fifo_full(14), 
2647South => south_2_north(28)(14), East => east_2_west(28)(14) , Grant => Signal_grant(28)(14));
2648
2649Arbiter_28_15 : Arbiter
2650
2651PORT MAP (Request => Request(223), North => south_2_north(27)(15), West => east_2_west(27)(14), P => Signal_priority(4), Fifo_full => Fifo_full(15), 
2652South => south_2_north(28)(15), East => east_2_west(28)(15) , Grant => Signal_grant(28)(15));
2653
2654Arbiter_28_16 : Arbiter
2655
2656PORT MAP (Request => Request(208), North => south_2_north(27)(16), West => east_2_west(27)(15), P => Signal_priority(4), Fifo_full => Fifo_full(16), 
2657South => south_2_north(28)(16), East => east_2_west(28)(16) , Grant => Signal_grant(28)(16));
2658
2659-------------------------- Diagonale n° 29
2660
2661
2662Arbiter_29_1 : Arbiter
2663
2664PORT MAP (Request => Request(193), North => south_2_north(28)(1), West => east_2_west(28)(16), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
2665South => south_2_north(29)(1), East => east_2_west(29)(1) , Grant => Signal_grant(29)(1));
2666
2667Arbiter_29_2 : Arbiter
2668
2669PORT MAP (Request => Request(178), North => south_2_north(28)(2), West => east_2_west(28)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
2670South => south_2_north(29)(2), East => east_2_west(29)(2) , Grant => Signal_grant(29)(2));
2671
2672Arbiter_29_3 : Arbiter
2673
2674PORT MAP (Request => Request(163), North => south_2_north(28)(3), West => east_2_west(28)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
2675South => south_2_north(29)(3), East => east_2_west(29)(3) , Grant => Signal_grant(29)(3));
2676
2677Arbiter_29_4 : Arbiter
2678
2679PORT MAP (Request => Request(148), North => south_2_north(28)(4), West => east_2_west(28)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
2680South => south_2_north(29)(4), East => east_2_west(29)(4) , Grant => Signal_grant(29)(4));
2681
2682Arbiter_29_5 : Arbiter
2683
2684PORT MAP (Request => Request(133), North => south_2_north(28)(5), West => east_2_west(28)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
2685South => south_2_north(29)(5), East => east_2_west(29)(5) , Grant => Signal_grant(29)(5));
2686
2687Arbiter_29_6 : Arbiter
2688
2689PORT MAP (Request => Request(118), North => south_2_north(28)(6), West => east_2_west(28)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
2690South => south_2_north(29)(6), East => east_2_west(29)(6) , Grant => Signal_grant(29)(6));
2691
2692Arbiter_29_7 : Arbiter
2693
2694PORT MAP (Request => Request(103), North => south_2_north(28)(7), West => east_2_west(28)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
2695South => south_2_north(29)(7), East => east_2_west(29)(7) , Grant => Signal_grant(29)(7));
2696
2697Arbiter_29_8 : Arbiter
2698
2699PORT MAP (Request => Request(88), North => south_2_north(28)(8), West => east_2_west(28)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
2700South => south_2_north(29)(8), East => east_2_west(29)(8) , Grant => Signal_grant(29)(8));
2701
2702Arbiter_29_9 : Arbiter
2703
2704PORT MAP (Request => Request(73), North => south_2_north(28)(9), West => east_2_west(28)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
2705South => south_2_north(29)(9), East => east_2_west(29)(9) , Grant => Signal_grant(29)(9));
2706
2707Arbiter_29_10 : Arbiter
2708
2709PORT MAP (Request => Request(58), North => south_2_north(28)(10), West => east_2_west(28)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
2710South => south_2_north(29)(10), East => east_2_west(29)(10) , Grant => Signal_grant(29)(10));
2711
2712Arbiter_29_11 : Arbiter
2713
2714PORT MAP (Request => Request(43), North => south_2_north(28)(11), West => east_2_west(28)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
2715South => south_2_north(29)(11), East => east_2_west(29)(11) , Grant => Signal_grant(29)(11));
2716
2717Arbiter_29_12 : Arbiter
2718
2719PORT MAP (Request => Request(28), North => south_2_north(28)(12), West => east_2_west(28)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
2720South => south_2_north(29)(12), East => east_2_west(29)(12) , Grant => Signal_grant(29)(12));
2721
2722Arbiter_29_13 : Arbiter
2723
2724PORT MAP (Request => Request(13), North => south_2_north(28)(13), West => east_2_west(28)(12), P => Signal_priority(3), Fifo_full => Fifo_full(13), 
2725South => south_2_north(29)(13), East => east_2_west(29)(13) , Grant => Signal_grant(29)(13));
2726
2727Arbiter_29_14 : Arbiter
2728
2729PORT MAP (Request => Request(254), North => south_2_north(28)(14), West => east_2_west(28)(13), P => Signal_priority(3), Fifo_full => Fifo_full(14), 
2730South => south_2_north(29)(14), East => east_2_west(29)(14) , Grant => Signal_grant(29)(14));
2731
2732Arbiter_29_15 : Arbiter
2733
2734PORT MAP (Request => Request(239), North => south_2_north(28)(15), West => east_2_west(28)(14), P => Signal_priority(3), Fifo_full => Fifo_full(15), 
2735South => south_2_north(29)(15), East => east_2_west(29)(15) , Grant => Signal_grant(29)(15));
2736
2737Arbiter_29_16 : Arbiter
2738
2739PORT MAP (Request => Request(224), North => south_2_north(28)(16), West => east_2_west(28)(15), P => Signal_priority(3), Fifo_full => Fifo_full(16), 
2740South => south_2_north(29)(16), East => east_2_west(29)(16) , Grant => Signal_grant(29)(16));
2741
2742-------------------------- Diagonale n° 30
2743
2744
2745Arbiter_30_1 : Arbiter
2746
2747PORT MAP (Request => Request(209), North => south_2_north(29)(1), West => east_2_west(29)(16), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
2748South => south_2_north(30)(1), East => east_2_west(30)(1) , Grant => Signal_grant(30)(1));
2749
2750Arbiter_30_2 : Arbiter
2751
2752PORT MAP (Request => Request(194), North => south_2_north(29)(2), West => east_2_west(29)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
2753South => south_2_north(30)(2), East => east_2_west(30)(2) , Grant => Signal_grant(30)(2));
2754
2755Arbiter_30_3 : Arbiter
2756
2757PORT MAP (Request => Request(179), North => south_2_north(29)(3), West => east_2_west(29)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
2758South => south_2_north(30)(3), East => east_2_west(30)(3) , Grant => Signal_grant(30)(3));
2759
2760Arbiter_30_4 : Arbiter
2761
2762PORT MAP (Request => Request(164), North => south_2_north(29)(4), West => east_2_west(29)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
2763South => south_2_north(30)(4), East => east_2_west(30)(4) , Grant => Signal_grant(30)(4));
2764
2765Arbiter_30_5 : Arbiter
2766
2767PORT MAP (Request => Request(149), North => south_2_north(29)(5), West => east_2_west(29)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
2768South => south_2_north(30)(5), East => east_2_west(30)(5) , Grant => Signal_grant(30)(5));
2769
2770Arbiter_30_6 : Arbiter
2771
2772PORT MAP (Request => Request(134), North => south_2_north(29)(6), West => east_2_west(29)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
2773South => south_2_north(30)(6), East => east_2_west(30)(6) , Grant => Signal_grant(30)(6));
2774
2775Arbiter_30_7 : Arbiter
2776
2777PORT MAP (Request => Request(119), North => south_2_north(29)(7), West => east_2_west(29)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
2778South => south_2_north(30)(7), East => east_2_west(30)(7) , Grant => Signal_grant(30)(7));
2779
2780Arbiter_30_8 : Arbiter
2781
2782PORT MAP (Request => Request(104), North => south_2_north(29)(8), West => east_2_west(29)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
2783South => south_2_north(30)(8), East => east_2_west(30)(8) , Grant => Signal_grant(30)(8));
2784
2785Arbiter_30_9 : Arbiter
2786
2787PORT MAP (Request => Request(89), North => south_2_north(29)(9), West => east_2_west(29)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
2788South => south_2_north(30)(9), East => east_2_west(30)(9) , Grant => Signal_grant(30)(9));
2789
2790Arbiter_30_10 : Arbiter
2791
2792PORT MAP (Request => Request(74), North => south_2_north(29)(10), West => east_2_west(29)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
2793South => south_2_north(30)(10), East => east_2_west(30)(10) , Grant => Signal_grant(30)(10));
2794
2795Arbiter_30_11 : Arbiter
2796
2797PORT MAP (Request => Request(59), North => south_2_north(29)(11), West => east_2_west(29)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
2798South => south_2_north(30)(11), East => east_2_west(30)(11) , Grant => Signal_grant(30)(11));
2799
2800Arbiter_30_12 : Arbiter
2801
2802PORT MAP (Request => Request(44), North => south_2_north(29)(12), West => east_2_west(29)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
2803South => south_2_north(30)(12), East => east_2_west(30)(12) , Grant => Signal_grant(30)(12));
2804
2805Arbiter_30_13 : Arbiter
2806
2807PORT MAP (Request => Request(29), North => south_2_north(29)(13), West => east_2_west(29)(12), P => Signal_priority(2), Fifo_full => Fifo_full(13), 
2808South => south_2_north(30)(13), East => east_2_west(30)(13) , Grant => Signal_grant(30)(13));
2809
2810Arbiter_30_14 : Arbiter
2811
2812PORT MAP (Request => Request(14), North => south_2_north(29)(14), West => east_2_west(29)(13), P => Signal_priority(2), Fifo_full => Fifo_full(14), 
2813South => south_2_north(30)(14), East => east_2_west(30)(14) , Grant => Signal_grant(30)(14));
2814
2815Arbiter_30_15 : Arbiter
2816
2817PORT MAP (Request => Request(255), North => south_2_north(29)(15), West => east_2_west(29)(14), P => Signal_priority(2), Fifo_full => Fifo_full(15), 
2818South => south_2_north(30)(15), East => east_2_west(30)(15) , Grant => Signal_grant(30)(15));
2819
2820Arbiter_30_16 : Arbiter
2821
2822PORT MAP (Request => Request(240), North => south_2_north(29)(16), West => east_2_west(29)(15), P => Signal_priority(2), Fifo_full => Fifo_full(16), 
2823South => south_2_north(30)(16), East => east_2_west(30)(16) , Grant => Signal_grant(30)(16));
2824
2825-------------------------- Diagonale n° 31
2826
2827
2828Arbiter_31_1 : Arbiter
2829
2830PORT MAP (Request => Request(225), North => south_2_north(30)(1), West => east_2_west(30)(16), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
2831South => south_2_north(31)(1), East => east_2_west(31)(1) , Grant => Signal_grant(31)(1));
2832
2833Arbiter_31_2 : Arbiter
2834
2835PORT MAP (Request => Request(210), North => south_2_north(30)(2), West => east_2_west(30)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
2836South => south_2_north(31)(2), East => east_2_west(31)(2) , Grant => Signal_grant(31)(2));
2837
2838Arbiter_31_3 : Arbiter
2839
2840PORT MAP (Request => Request(195), North => south_2_north(30)(3), West => east_2_west(30)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
2841South => south_2_north(31)(3), East => east_2_west(31)(3) , Grant => Signal_grant(31)(3));
2842
2843Arbiter_31_4 : Arbiter
2844
2845PORT MAP (Request => Request(180), North => south_2_north(30)(4), West => east_2_west(30)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
2846South => south_2_north(31)(4), East => east_2_west(31)(4) , Grant => Signal_grant(31)(4));
2847
2848Arbiter_31_5 : Arbiter
2849
2850PORT MAP (Request => Request(165), North => south_2_north(30)(5), West => east_2_west(30)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
2851South => south_2_north(31)(5), East => east_2_west(31)(5) , Grant => Signal_grant(31)(5));
2852
2853Arbiter_31_6 : Arbiter
2854
2855PORT MAP (Request => Request(150), North => south_2_north(30)(6), West => east_2_west(30)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
2856South => south_2_north(31)(6), East => east_2_west(31)(6) , Grant => Signal_grant(31)(6));
2857
2858Arbiter_31_7 : Arbiter
2859
2860PORT MAP (Request => Request(135), North => south_2_north(30)(7), West => east_2_west(30)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
2861South => south_2_north(31)(7), East => east_2_west(31)(7) , Grant => Signal_grant(31)(7));
2862
2863Arbiter_31_8 : Arbiter
2864
2865PORT MAP (Request => Request(120), North => south_2_north(30)(8), West => east_2_west(30)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
2866South => south_2_north(31)(8), East => east_2_west(31)(8) , Grant => Signal_grant(31)(8));
2867
2868Arbiter_31_9 : Arbiter
2869
2870PORT MAP (Request => Request(105), North => south_2_north(30)(9), West => east_2_west(30)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
2871South => south_2_north(31)(9), East => east_2_west(31)(9) , Grant => Signal_grant(31)(9));
2872
2873Arbiter_31_10 : Arbiter
2874
2875PORT MAP (Request => Request(90), North => south_2_north(30)(10), West => east_2_west(30)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
2876South => south_2_north(31)(10), East => east_2_west(31)(10) , Grant => Signal_grant(31)(10));
2877
2878Arbiter_31_11 : Arbiter
2879
2880PORT MAP (Request => Request(75), North => south_2_north(30)(11), West => east_2_west(30)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
2881South => south_2_north(31)(11), East => east_2_west(31)(11) , Grant => Signal_grant(31)(11));
2882
2883Arbiter_31_12 : Arbiter
2884
2885PORT MAP (Request => Request(60), North => south_2_north(30)(12), West => east_2_west(30)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
2886South => south_2_north(31)(12), East => east_2_west(31)(12) , Grant => Signal_grant(31)(12));
2887
2888Arbiter_31_13 : Arbiter
2889
2890PORT MAP (Request => Request(45), North => south_2_north(30)(13), West => east_2_west(30)(12), P => Signal_priority(1), Fifo_full => Fifo_full(13), 
2891South => south_2_north(31)(13), East => east_2_west(31)(13) , Grant => Signal_grant(31)(13));
2892
2893Arbiter_31_14 : Arbiter
2894
2895PORT MAP (Request => Request(30), North => south_2_north(30)(14), West => east_2_west(30)(13), P => Signal_priority(1), Fifo_full => Fifo_full(14), 
2896South => south_2_north(31)(14), East => east_2_west(31)(14) , Grant => Signal_grant(31)(14));
2897
2898Arbiter_31_15 : Arbiter
2899
2900PORT MAP (Request => Request(15), North => south_2_north(30)(15), West => east_2_west(30)(14), P => Signal_priority(1), Fifo_full => Fifo_full(15), 
2901South => south_2_north(31)(15), East => east_2_west(31)(15) , Grant => Signal_grant(31)(15));
2902
2903Arbiter_31_16 : Arbiter
2904
2905PORT MAP (Request => Request(256), North => south_2_north(30)(16), West => east_2_west(30)(15), P => Signal_priority(1), Fifo_full => Fifo_full(16), 
2906South => south_2_north(31)(16), East => east_2_west(31)(16) , Grant => Signal_grant(31)(16));
2907
2908
2909--processus permettant de roter la priorité des diagonales à chaque front d'horloge
2910 -- rotation round robin
2911         round_robin : process(clk)
2912        begin
2913                if rising_edge(clk) then
2914                 if reset ='1' then
2915                    Signal_priority <= "1111111111111111000000000000000";
2916                  elsif priority_rotation_en = '1' then
2917                    case Signal_priority is
2918                       when "1111111111111111000000000000000" => Signal_priority <= "0111111111111111100000000000000";
2919                       when "0111111111111111100000000000000" => Signal_priority <= "0011111111111111110000000000000";
2920                       when "0011111111111111110000000000000" => Signal_priority <= "0001111111111111111000000000000";
2921                       when "0001111111111111111000000000000" => Signal_priority <= "0000111111111111111100000000000";
2922                       when "0000111111111111111100000000000" => Signal_priority <= "0000011111111111111110000000000";
2923                       when "0000011111111111111110000000000" => Signal_priority <= "0000001111111111111111000000000";
2924                       when "0000001111111111111111000000000" => Signal_priority <= "0000000111111111111111100000000";
2925                       when "0000000111111111111111100000000" => Signal_priority <= "0000000011111111111111110000000";
2926                       when "0000000011111111111111110000000" => Signal_priority <= "0000000001111111111111111000000";
2927                       when "0000000001111111111111111000000" => Signal_priority <= "0000000000111111111111111100000";
2928                       when "0000000000111111111111111100000" => Signal_priority <= "0000000000011111111111111110000";
2929                       when "0000000000011111111111111110000" => Signal_priority <= "0000000000001111111111111111000";
2930                       when "0000000000001111111111111111000" => Signal_priority <= "0000000000000111111111111111100";
2931                       when "0000000000000111111111111111100" => Signal_priority <= "0000000000000011111111111111110";
2932                       when "0000000000000011111111111111110" => Signal_priority <= "0000000000000001111111111111111";
2933                       when "0000000000000001111111111111111" => Signal_priority <= "1111111111111111000000000000000";
2934                       when others    => Signal_priority <= "1111111111111111000000000000000";
2935                  end case;
2936                 end if;
2937             end if;
2938         end process;
2939
2940end Behavioral;
2941
Note: See TracBrowser for help on using the repository browser.