source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/NOC/SCHEDULER10_10.VHD @ 101

Last change on this file since 101 was 101, checked in by rolagamo, 10 years ago
File size: 61.5 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler10_10 is
32    Port ( Request : in  STD_LOGIC_VECTOR (100 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (10 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (10 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (100 downto 1));
38end Scheduler10_10;
39
40architecture Behavioral of Scheduler10_10 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(19 downto 1) of STD_LOGIC_VECTOR(10 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (19 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(100 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant :  std_logic_vector(100 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61 priority_rotation_en <= '1' when unsigned(priority_rotation) = 1023 else       '0';
62--latch servant qui memorise le signal grant pendant a transmission
63grant_latch_process : process(clk)
64 begin
65  if rising_edge(clk) then
66   if reset = '1' then
67                grant_latch <= (others => '0');
68         elsif priority_rotation_en = '1' then
69           grant_latch <= Grant;
70   end if;
71   end if;
72 end process;
73 port_grant <= Grant and grant_latch;
74 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(11)(1); --  Grant(1,1)
75Grant(2)  <= Signal_grant(2)(2) or Signal_grant(12)(2); --  Grant(1,2)
76Grant(3)  <= Signal_grant(3)(3) or Signal_grant(13)(3); --  Grant(1,3)
77Grant(4)  <= Signal_grant(4)(4) or Signal_grant(14)(4); --  Grant(1,4)
78Grant(5)  <= Signal_grant(5)(5) or Signal_grant(15)(5); --  Grant(1,5)
79Grant(6)  <= Signal_grant(6)(6) or Signal_grant(16)(6); --  Grant(1,6)
80Grant(7)  <= Signal_grant(7)(7) or Signal_grant(17)(7); --  Grant(1,7)
81Grant(8)  <= Signal_grant(8)(8) or Signal_grant(18)(8); --  Grant(1,8)
82Grant(9)  <= Signal_grant(9)(9) or Signal_grant(19)(9); --  Grant(1,9)
83Grant(10)  <= Signal_grant(10)(10) ;                      --  Grant(1,10)
84Grant(11)  <= Signal_grant(2)(1) or Signal_grant(12)(1); --  Grant(2,1)
85Grant(12)  <= Signal_grant(3)(2) or Signal_grant(13)(2); --  Grant(2,2)
86Grant(13)  <= Signal_grant(4)(3) or Signal_grant(14)(3); --  Grant(2,3)
87Grant(14)  <= Signal_grant(5)(4) or Signal_grant(15)(4); --  Grant(2,4)
88Grant(15)  <= Signal_grant(6)(5) or Signal_grant(16)(5); --  Grant(2,5)
89Grant(16)  <= Signal_grant(7)(6) or Signal_grant(17)(6); --  Grant(2,6)
90Grant(17)  <= Signal_grant(8)(7) or Signal_grant(18)(7); --  Grant(2,7)
91Grant(18)  <= Signal_grant(9)(8) or Signal_grant(19)(8); --  Grant(2,8)
92Grant(19)  <= Signal_grant(10)(9) ;                      --  Grant(2,9)
93Grant(20)  <= Signal_grant(1)(10) or Signal_grant(11)(10); --  Grant(2,10)
94Grant(21)  <= Signal_grant(3)(1) or Signal_grant(13)(1); --  Grant(3,1)
95Grant(22)  <= Signal_grant(4)(2) or Signal_grant(14)(2); --  Grant(3,2)
96Grant(23)  <= Signal_grant(5)(3) or Signal_grant(15)(3); --  Grant(3,3)
97Grant(24)  <= Signal_grant(6)(4) or Signal_grant(16)(4); --  Grant(3,4)
98Grant(25)  <= Signal_grant(7)(5) or Signal_grant(17)(5); --  Grant(3,5)
99Grant(26)  <= Signal_grant(8)(6) or Signal_grant(18)(6); --  Grant(3,6)
100Grant(27)  <= Signal_grant(9)(7) or Signal_grant(19)(7); --  Grant(3,7)
101Grant(28)  <= Signal_grant(10)(8) ;                      --  Grant(3,8)
102Grant(29)  <= Signal_grant(1)(9) or Signal_grant(11)(9); --  Grant(3,9)
103Grant(30)  <= Signal_grant(2)(10) or Signal_grant(12)(10); --  Grant(3,10)
104Grant(31)  <= Signal_grant(4)(1) or Signal_grant(14)(1); --  Grant(4,1)
105Grant(32)  <= Signal_grant(5)(2) or Signal_grant(15)(2); --  Grant(4,2)
106Grant(33)  <= Signal_grant(6)(3) or Signal_grant(16)(3); --  Grant(4,3)
107Grant(34)  <= Signal_grant(7)(4) or Signal_grant(17)(4); --  Grant(4,4)
108Grant(35)  <= Signal_grant(8)(5) or Signal_grant(18)(5); --  Grant(4,5)
109Grant(36)  <= Signal_grant(9)(6) or Signal_grant(19)(6); --  Grant(4,6)
110Grant(37)  <= Signal_grant(10)(7) ;                      --  Grant(4,7)
111Grant(38)  <= Signal_grant(1)(8) or Signal_grant(11)(8); --  Grant(4,8)
112Grant(39)  <= Signal_grant(2)(9) or Signal_grant(12)(9); --  Grant(4,9)
113Grant(40)  <= Signal_grant(3)(10) or Signal_grant(13)(10); --  Grant(4,10)
114Grant(41)  <= Signal_grant(5)(1) or Signal_grant(15)(1); --  Grant(5,1)
115Grant(42)  <= Signal_grant(6)(2) or Signal_grant(16)(2); --  Grant(5,2)
116Grant(43)  <= Signal_grant(7)(3) or Signal_grant(17)(3); --  Grant(5,3)
117Grant(44)  <= Signal_grant(8)(4) or Signal_grant(18)(4); --  Grant(5,4)
118Grant(45)  <= Signal_grant(9)(5) or Signal_grant(19)(5); --  Grant(5,5)
119Grant(46)  <= Signal_grant(10)(6) ;                      --  Grant(5,6)
120Grant(47)  <= Signal_grant(1)(7) or Signal_grant(11)(7); --  Grant(5,7)
121Grant(48)  <= Signal_grant(2)(8) or Signal_grant(12)(8); --  Grant(5,8)
122Grant(49)  <= Signal_grant(3)(9) or Signal_grant(13)(9); --  Grant(5,9)
123Grant(50)  <= Signal_grant(4)(10) or Signal_grant(14)(10); --  Grant(5,10)
124Grant(51)  <= Signal_grant(6)(1) or Signal_grant(16)(1); --  Grant(6,1)
125Grant(52)  <= Signal_grant(7)(2) or Signal_grant(17)(2); --  Grant(6,2)
126Grant(53)  <= Signal_grant(8)(3) or Signal_grant(18)(3); --  Grant(6,3)
127Grant(54)  <= Signal_grant(9)(4) or Signal_grant(19)(4); --  Grant(6,4)
128Grant(55)  <= Signal_grant(10)(5) ;                      --  Grant(6,5)
129Grant(56)  <= Signal_grant(1)(6) or Signal_grant(11)(6); --  Grant(6,6)
130Grant(57)  <= Signal_grant(2)(7) or Signal_grant(12)(7); --  Grant(6,7)
131Grant(58)  <= Signal_grant(3)(8) or Signal_grant(13)(8); --  Grant(6,8)
132Grant(59)  <= Signal_grant(4)(9) or Signal_grant(14)(9); --  Grant(6,9)
133Grant(60)  <= Signal_grant(5)(10) or Signal_grant(15)(10); --  Grant(6,10)
134Grant(61)  <= Signal_grant(7)(1) or Signal_grant(17)(1); --  Grant(7,1)
135Grant(62)  <= Signal_grant(8)(2) or Signal_grant(18)(2); --  Grant(7,2)
136Grant(63)  <= Signal_grant(9)(3) or Signal_grant(19)(3); --  Grant(7,3)
137Grant(64)  <= Signal_grant(10)(4) ;                      --  Grant(7,4)
138Grant(65)  <= Signal_grant(1)(5) or Signal_grant(11)(5); --  Grant(7,5)
139Grant(66)  <= Signal_grant(2)(6) or Signal_grant(12)(6); --  Grant(7,6)
140Grant(67)  <= Signal_grant(3)(7) or Signal_grant(13)(7); --  Grant(7,7)
141Grant(68)  <= Signal_grant(4)(8) or Signal_grant(14)(8); --  Grant(7,8)
142Grant(69)  <= Signal_grant(5)(9) or Signal_grant(15)(9); --  Grant(7,9)
143Grant(70)  <= Signal_grant(6)(10) or Signal_grant(16)(10); --  Grant(7,10)
144Grant(71)  <= Signal_grant(8)(1) or Signal_grant(18)(1); --  Grant(8,1)
145Grant(72)  <= Signal_grant(9)(2) or Signal_grant(19)(2); --  Grant(8,2)
146Grant(73)  <= Signal_grant(10)(3) ;                      --  Grant(8,3)
147Grant(74)  <= Signal_grant(1)(4) or Signal_grant(11)(4); --  Grant(8,4)
148Grant(75)  <= Signal_grant(2)(5) or Signal_grant(12)(5); --  Grant(8,5)
149Grant(76)  <= Signal_grant(3)(6) or Signal_grant(13)(6); --  Grant(8,6)
150Grant(77)  <= Signal_grant(4)(7) or Signal_grant(14)(7); --  Grant(8,7)
151Grant(78)  <= Signal_grant(5)(8) or Signal_grant(15)(8); --  Grant(8,8)
152Grant(79)  <= Signal_grant(6)(9) or Signal_grant(16)(9); --  Grant(8,9)
153Grant(80)  <= Signal_grant(7)(10) or Signal_grant(17)(10); --  Grant(8,10)
154Grant(81)  <= Signal_grant(9)(1) or Signal_grant(19)(1); --  Grant(9,1)
155Grant(82)  <= Signal_grant(10)(2) ;                      --  Grant(9,2)
156Grant(83)  <= Signal_grant(1)(3) or Signal_grant(11)(3); --  Grant(9,3)
157Grant(84)  <= Signal_grant(2)(4) or Signal_grant(12)(4); --  Grant(9,4)
158Grant(85)  <= Signal_grant(3)(5) or Signal_grant(13)(5); --  Grant(9,5)
159Grant(86)  <= Signal_grant(4)(6) or Signal_grant(14)(6); --  Grant(9,6)
160Grant(87)  <= Signal_grant(5)(7) or Signal_grant(15)(7); --  Grant(9,7)
161Grant(88)  <= Signal_grant(6)(8) or Signal_grant(16)(8); --  Grant(9,8)
162Grant(89)  <= Signal_grant(7)(9) or Signal_grant(17)(9); --  Grant(9,9)
163Grant(90)  <= Signal_grant(8)(10) or Signal_grant(18)(10); --  Grant(9,10)
164Grant(91)  <= Signal_grant(10)(1) ;                      --  Grant(10,1)
165Grant(92)  <= Signal_grant(1)(2) or Signal_grant(11)(2); --  Grant(10,2)
166Grant(93)  <= Signal_grant(2)(3) or Signal_grant(12)(3); --  Grant(10,3)
167Grant(94)  <= Signal_grant(3)(4) or Signal_grant(13)(4); --  Grant(10,4)
168Grant(95)  <= Signal_grant(4)(5) or Signal_grant(14)(5); --  Grant(10,5)
169Grant(96)  <= Signal_grant(5)(6) or Signal_grant(15)(6); --  Grant(10,6)
170Grant(97)  <= Signal_grant(6)(7) or Signal_grant(16)(7); --  Grant(10,7)
171Grant(98)  <= Signal_grant(7)(8) or Signal_grant(17)(8); --  Grant(10,8)
172Grant(99)  <= Signal_grant(8)(9) or Signal_grant(18)(9); --  Grant(10,9)
173Grant(100)  <= Signal_grant(9)(10) or Signal_grant(19)(10); --  Grant(10,10)
174High <= '1';
175
176----instantiations des cellules arbitres et interconnection
177
178-------------------------- Diagonale n° 1
179
180
181Arbiter_1_1 : Arbiter
182
183PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(1), 
184South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
185
186Arbiter_1_2 : Arbiter
187
188PORT MAP (Request => Request(92), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(2), 
189South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
190
191Arbiter_1_3 : Arbiter
192
193PORT MAP (Request => Request(83), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(3), 
194South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
195
196Arbiter_1_4 : Arbiter
197
198PORT MAP (Request => Request(74), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(4), 
199South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
200
201Arbiter_1_5 : Arbiter
202
203PORT MAP (Request => Request(65), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(5), 
204South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
205
206Arbiter_1_6 : Arbiter
207
208PORT MAP (Request => Request(56), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(6), 
209South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
210
211Arbiter_1_7 : Arbiter
212
213PORT MAP (Request => Request(47), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(7), 
214South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
215
216Arbiter_1_8 : Arbiter
217
218PORT MAP (Request => Request(38), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(8), 
219South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
220
221Arbiter_1_9 : Arbiter
222
223PORT MAP (Request => Request(29), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(9), 
224South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
225
226Arbiter_1_10 : Arbiter
227
228PORT MAP (Request => Request(20), North => High, West => High, P => Signal_priority(19), Fifo_full => Fifo_full(10), 
229South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
230
231-------------------------- Diagonale n° 2
232
233
234Arbiter_2_1 : Arbiter
235
236PORT MAP (Request => Request(11), North => south_2_north(1)(1), West => east_2_west(1)(10), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
237South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
238
239Arbiter_2_2 : Arbiter
240
241PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
242South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
243
244Arbiter_2_3 : Arbiter
245
246PORT MAP (Request => Request(93), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
247South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
248
249Arbiter_2_4 : Arbiter
250
251PORT MAP (Request => Request(84), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
252South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
253
254Arbiter_2_5 : Arbiter
255
256PORT MAP (Request => Request(75), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
257South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
258
259Arbiter_2_6 : Arbiter
260
261PORT MAP (Request => Request(66), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
262South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
263
264Arbiter_2_7 : Arbiter
265
266PORT MAP (Request => Request(57), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
267South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
268
269Arbiter_2_8 : Arbiter
270
271PORT MAP (Request => Request(48), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
272South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
273
274Arbiter_2_9 : Arbiter
275
276PORT MAP (Request => Request(39), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
277South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
278
279Arbiter_2_10 : Arbiter
280
281PORT MAP (Request => Request(30), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
282South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
283
284-------------------------- Diagonale n° 3
285
286
287Arbiter_3_1 : Arbiter
288
289PORT MAP (Request => Request(21), North => south_2_north(2)(1), West => east_2_west(2)(10), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
290South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
291
292Arbiter_3_2 : Arbiter
293
294PORT MAP (Request => Request(12), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
295South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
296
297Arbiter_3_3 : Arbiter
298
299PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
300South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
301
302Arbiter_3_4 : Arbiter
303
304PORT MAP (Request => Request(94), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
305South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
306
307Arbiter_3_5 : Arbiter
308
309PORT MAP (Request => Request(85), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
310South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
311
312Arbiter_3_6 : Arbiter
313
314PORT MAP (Request => Request(76), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
315South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
316
317Arbiter_3_7 : Arbiter
318
319PORT MAP (Request => Request(67), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
320South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
321
322Arbiter_3_8 : Arbiter
323
324PORT MAP (Request => Request(58), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
325South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
326
327Arbiter_3_9 : Arbiter
328
329PORT MAP (Request => Request(49), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
330South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
331
332Arbiter_3_10 : Arbiter
333
334PORT MAP (Request => Request(40), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
335South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
336
337-------------------------- Diagonale n° 4
338
339
340Arbiter_4_1 : Arbiter
341
342PORT MAP (Request => Request(31), North => south_2_north(3)(1), West => east_2_west(3)(10), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
343South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
344
345Arbiter_4_2 : Arbiter
346
347PORT MAP (Request => Request(22), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
348South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
349
350Arbiter_4_3 : Arbiter
351
352PORT MAP (Request => Request(13), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
353South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
354
355Arbiter_4_4 : Arbiter
356
357PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
358South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
359
360Arbiter_4_5 : Arbiter
361
362PORT MAP (Request => Request(95), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
363South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
364
365Arbiter_4_6 : Arbiter
366
367PORT MAP (Request => Request(86), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
368South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
369
370Arbiter_4_7 : Arbiter
371
372PORT MAP (Request => Request(77), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
373South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
374
375Arbiter_4_8 : Arbiter
376
377PORT MAP (Request => Request(68), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
378South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
379
380Arbiter_4_9 : Arbiter
381
382PORT MAP (Request => Request(59), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
383South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
384
385Arbiter_4_10 : Arbiter
386
387PORT MAP (Request => Request(50), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
388South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
389
390-------------------------- Diagonale n° 5
391
392
393Arbiter_5_1 : Arbiter
394
395PORT MAP (Request => Request(41), North => south_2_north(4)(1), West => east_2_west(4)(10), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
396South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
397
398Arbiter_5_2 : Arbiter
399
400PORT MAP (Request => Request(32), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
401South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
402
403Arbiter_5_3 : Arbiter
404
405PORT MAP (Request => Request(23), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
406South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
407
408Arbiter_5_4 : Arbiter
409
410PORT MAP (Request => Request(14), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
411South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
412
413Arbiter_5_5 : Arbiter
414
415PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
416South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
417
418Arbiter_5_6 : Arbiter
419
420PORT MAP (Request => Request(96), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
421South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
422
423Arbiter_5_7 : Arbiter
424
425PORT MAP (Request => Request(87), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
426South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
427
428Arbiter_5_8 : Arbiter
429
430PORT MAP (Request => Request(78), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
431South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
432
433Arbiter_5_9 : Arbiter
434
435PORT MAP (Request => Request(69), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
436South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
437
438Arbiter_5_10 : Arbiter
439
440PORT MAP (Request => Request(60), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
441South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
442
443-------------------------- Diagonale n° 6
444
445
446Arbiter_6_1 : Arbiter
447
448PORT MAP (Request => Request(51), North => south_2_north(5)(1), West => east_2_west(5)(10), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
449South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
450
451Arbiter_6_2 : Arbiter
452
453PORT MAP (Request => Request(42), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
454South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
455
456Arbiter_6_3 : Arbiter
457
458PORT MAP (Request => Request(33), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
459South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
460
461Arbiter_6_4 : Arbiter
462
463PORT MAP (Request => Request(24), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
464South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
465
466Arbiter_6_5 : Arbiter
467
468PORT MAP (Request => Request(15), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
469South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
470
471Arbiter_6_6 : Arbiter
472
473PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
474South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
475
476Arbiter_6_7 : Arbiter
477
478PORT MAP (Request => Request(97), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
479South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
480
481Arbiter_6_8 : Arbiter
482
483PORT MAP (Request => Request(88), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
484South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
485
486Arbiter_6_9 : Arbiter
487
488PORT MAP (Request => Request(79), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
489South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
490
491Arbiter_6_10 : Arbiter
492
493PORT MAP (Request => Request(70), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
494South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
495
496-------------------------- Diagonale n° 7
497
498
499Arbiter_7_1 : Arbiter
500
501PORT MAP (Request => Request(61), North => south_2_north(6)(1), West => east_2_west(6)(10), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
502South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
503
504Arbiter_7_2 : Arbiter
505
506PORT MAP (Request => Request(52), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
507South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
508
509Arbiter_7_3 : Arbiter
510
511PORT MAP (Request => Request(43), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
512South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
513
514Arbiter_7_4 : Arbiter
515
516PORT MAP (Request => Request(34), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
517South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
518
519Arbiter_7_5 : Arbiter
520
521PORT MAP (Request => Request(25), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
522South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
523
524Arbiter_7_6 : Arbiter
525
526PORT MAP (Request => Request(16), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
527South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
528
529Arbiter_7_7 : Arbiter
530
531PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
532South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
533
534Arbiter_7_8 : Arbiter
535
536PORT MAP (Request => Request(98), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
537South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
538
539Arbiter_7_9 : Arbiter
540
541PORT MAP (Request => Request(89), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
542South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
543
544Arbiter_7_10 : Arbiter
545
546PORT MAP (Request => Request(80), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
547South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
548
549-------------------------- Diagonale n° 8
550
551
552Arbiter_8_1 : Arbiter
553
554PORT MAP (Request => Request(71), North => south_2_north(7)(1), West => east_2_west(7)(10), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
555South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
556
557Arbiter_8_2 : Arbiter
558
559PORT MAP (Request => Request(62), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
560South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
561
562Arbiter_8_3 : Arbiter
563
564PORT MAP (Request => Request(53), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
565South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
566
567Arbiter_8_4 : Arbiter
568
569PORT MAP (Request => Request(44), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
570South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
571
572Arbiter_8_5 : Arbiter
573
574PORT MAP (Request => Request(35), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
575South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
576
577Arbiter_8_6 : Arbiter
578
579PORT MAP (Request => Request(26), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
580South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
581
582Arbiter_8_7 : Arbiter
583
584PORT MAP (Request => Request(17), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
585South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
586
587Arbiter_8_8 : Arbiter
588
589PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
590South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
591
592Arbiter_8_9 : Arbiter
593
594PORT MAP (Request => Request(99), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
595South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
596
597Arbiter_8_10 : Arbiter
598
599PORT MAP (Request => Request(90), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
600South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
601
602-------------------------- Diagonale n° 9
603
604
605Arbiter_9_1 : Arbiter
606
607PORT MAP (Request => Request(81), North => south_2_north(8)(1), West => east_2_west(8)(10), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
608South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
609
610Arbiter_9_2 : Arbiter
611
612PORT MAP (Request => Request(72), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
613South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
614
615Arbiter_9_3 : Arbiter
616
617PORT MAP (Request => Request(63), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
618South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
619
620Arbiter_9_4 : Arbiter
621
622PORT MAP (Request => Request(54), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
623South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
624
625Arbiter_9_5 : Arbiter
626
627PORT MAP (Request => Request(45), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
628South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
629
630Arbiter_9_6 : Arbiter
631
632PORT MAP (Request => Request(36), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
633South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
634
635Arbiter_9_7 : Arbiter
636
637PORT MAP (Request => Request(27), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
638South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
639
640Arbiter_9_8 : Arbiter
641
642PORT MAP (Request => Request(18), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
643South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
644
645Arbiter_9_9 : Arbiter
646
647PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
648South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
649
650Arbiter_9_10 : Arbiter
651
652PORT MAP (Request => Request(100), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
653South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
654
655-------------------------- Diagonale n° 10
656
657
658Arbiter_10_1 : Arbiter
659
660PORT MAP (Request => Request(91), North => south_2_north(9)(1), West => east_2_west(9)(10), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
661South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
662
663Arbiter_10_2 : Arbiter
664
665PORT MAP (Request => Request(82), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
666South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
667
668Arbiter_10_3 : Arbiter
669
670PORT MAP (Request => Request(73), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
671South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
672
673Arbiter_10_4 : Arbiter
674
675PORT MAP (Request => Request(64), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
676South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
677
678Arbiter_10_5 : Arbiter
679
680PORT MAP (Request => Request(55), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
681South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
682
683Arbiter_10_6 : Arbiter
684
685PORT MAP (Request => Request(46), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
686South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
687
688Arbiter_10_7 : Arbiter
689
690PORT MAP (Request => Request(37), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
691South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
692
693Arbiter_10_8 : Arbiter
694
695PORT MAP (Request => Request(28), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
696South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
697
698Arbiter_10_9 : Arbiter
699
700PORT MAP (Request => Request(19), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
701South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
702
703Arbiter_10_10 : Arbiter
704
705PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
706South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
707
708-------------------------- Diagonale n° 11
709
710
711Arbiter_11_1 : Arbiter
712
713PORT MAP (Request => Request(1), North => south_2_north(10)(1), West => east_2_west(10)(10), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
714South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
715
716Arbiter_11_2 : Arbiter
717
718PORT MAP (Request => Request(92), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
719South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
720
721Arbiter_11_3 : Arbiter
722
723PORT MAP (Request => Request(83), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
724South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
725
726Arbiter_11_4 : Arbiter
727
728PORT MAP (Request => Request(74), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
729South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
730
731Arbiter_11_5 : Arbiter
732
733PORT MAP (Request => Request(65), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
734South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
735
736Arbiter_11_6 : Arbiter
737
738PORT MAP (Request => Request(56), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
739South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
740
741Arbiter_11_7 : Arbiter
742
743PORT MAP (Request => Request(47), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
744South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
745
746Arbiter_11_8 : Arbiter
747
748PORT MAP (Request => Request(38), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
749South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
750
751Arbiter_11_9 : Arbiter
752
753PORT MAP (Request => Request(29), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
754South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
755
756Arbiter_11_10 : Arbiter
757
758PORT MAP (Request => Request(20), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
759South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
760
761-------------------------- Diagonale n° 12
762
763
764Arbiter_12_1 : Arbiter
765
766PORT MAP (Request => Request(11), North => south_2_north(11)(1), West => east_2_west(11)(10), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
767South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
768
769Arbiter_12_2 : Arbiter
770
771PORT MAP (Request => Request(2), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
772South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
773
774Arbiter_12_3 : Arbiter
775
776PORT MAP (Request => Request(93), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
777South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
778
779Arbiter_12_4 : Arbiter
780
781PORT MAP (Request => Request(84), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
782South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
783
784Arbiter_12_5 : Arbiter
785
786PORT MAP (Request => Request(75), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
787South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
788
789Arbiter_12_6 : Arbiter
790
791PORT MAP (Request => Request(66), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
792South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
793
794Arbiter_12_7 : Arbiter
795
796PORT MAP (Request => Request(57), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
797South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
798
799Arbiter_12_8 : Arbiter
800
801PORT MAP (Request => Request(48), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
802South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
803
804Arbiter_12_9 : Arbiter
805
806PORT MAP (Request => Request(39), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
807South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
808
809Arbiter_12_10 : Arbiter
810
811PORT MAP (Request => Request(30), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
812South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
813
814-------------------------- Diagonale n° 13
815
816
817Arbiter_13_1 : Arbiter
818
819PORT MAP (Request => Request(21), North => south_2_north(12)(1), West => east_2_west(12)(10), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
820South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
821
822Arbiter_13_2 : Arbiter
823
824PORT MAP (Request => Request(12), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
825South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
826
827Arbiter_13_3 : Arbiter
828
829PORT MAP (Request => Request(3), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
830South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
831
832Arbiter_13_4 : Arbiter
833
834PORT MAP (Request => Request(94), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
835South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
836
837Arbiter_13_5 : Arbiter
838
839PORT MAP (Request => Request(85), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
840South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
841
842Arbiter_13_6 : Arbiter
843
844PORT MAP (Request => Request(76), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
845South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
846
847Arbiter_13_7 : Arbiter
848
849PORT MAP (Request => Request(67), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
850South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
851
852Arbiter_13_8 : Arbiter
853
854PORT MAP (Request => Request(58), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
855South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
856
857Arbiter_13_9 : Arbiter
858
859PORT MAP (Request => Request(49), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
860South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
861
862Arbiter_13_10 : Arbiter
863
864PORT MAP (Request => Request(40), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
865South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
866
867-------------------------- Diagonale n° 14
868
869
870Arbiter_14_1 : Arbiter
871
872PORT MAP (Request => Request(31), North => south_2_north(13)(1), West => east_2_west(13)(10), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
873South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
874
875Arbiter_14_2 : Arbiter
876
877PORT MAP (Request => Request(22), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
878South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
879
880Arbiter_14_3 : Arbiter
881
882PORT MAP (Request => Request(13), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
883South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
884
885Arbiter_14_4 : Arbiter
886
887PORT MAP (Request => Request(4), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
888South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
889
890Arbiter_14_5 : Arbiter
891
892PORT MAP (Request => Request(95), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
893South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
894
895Arbiter_14_6 : Arbiter
896
897PORT MAP (Request => Request(86), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
898South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
899
900Arbiter_14_7 : Arbiter
901
902PORT MAP (Request => Request(77), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
903South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
904
905Arbiter_14_8 : Arbiter
906
907PORT MAP (Request => Request(68), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
908South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
909
910Arbiter_14_9 : Arbiter
911
912PORT MAP (Request => Request(59), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
913South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
914
915Arbiter_14_10 : Arbiter
916
917PORT MAP (Request => Request(50), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
918South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
919
920-------------------------- Diagonale n° 15
921
922
923Arbiter_15_1 : Arbiter
924
925PORT MAP (Request => Request(41), North => south_2_north(14)(1), West => east_2_west(14)(10), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
926South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
927
928Arbiter_15_2 : Arbiter
929
930PORT MAP (Request => Request(32), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
931South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
932
933Arbiter_15_3 : Arbiter
934
935PORT MAP (Request => Request(23), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
936South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
937
938Arbiter_15_4 : Arbiter
939
940PORT MAP (Request => Request(14), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
941South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
942
943Arbiter_15_5 : Arbiter
944
945PORT MAP (Request => Request(5), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
946South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
947
948Arbiter_15_6 : Arbiter
949
950PORT MAP (Request => Request(96), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
951South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
952
953Arbiter_15_7 : Arbiter
954
955PORT MAP (Request => Request(87), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
956South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
957
958Arbiter_15_8 : Arbiter
959
960PORT MAP (Request => Request(78), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
961South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
962
963Arbiter_15_9 : Arbiter
964
965PORT MAP (Request => Request(69), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
966South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
967
968Arbiter_15_10 : Arbiter
969
970PORT MAP (Request => Request(60), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
971South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
972
973-------------------------- Diagonale n° 16
974
975
976Arbiter_16_1 : Arbiter
977
978PORT MAP (Request => Request(51), North => south_2_north(15)(1), West => east_2_west(15)(10), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
979South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
980
981Arbiter_16_2 : Arbiter
982
983PORT MAP (Request => Request(42), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
984South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
985
986Arbiter_16_3 : Arbiter
987
988PORT MAP (Request => Request(33), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
989South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
990
991Arbiter_16_4 : Arbiter
992
993PORT MAP (Request => Request(24), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
994South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
995
996Arbiter_16_5 : Arbiter
997
998PORT MAP (Request => Request(15), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
999South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1000
1001Arbiter_16_6 : Arbiter
1002
1003PORT MAP (Request => Request(6), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
1004South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1005
1006Arbiter_16_7 : Arbiter
1007
1008PORT MAP (Request => Request(97), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
1009South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1010
1011Arbiter_16_8 : Arbiter
1012
1013PORT MAP (Request => Request(88), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
1014South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1015
1016Arbiter_16_9 : Arbiter
1017
1018PORT MAP (Request => Request(79), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
1019South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1020
1021Arbiter_16_10 : Arbiter
1022
1023PORT MAP (Request => Request(70), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
1024South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1025
1026-------------------------- Diagonale n° 17
1027
1028
1029Arbiter_17_1 : Arbiter
1030
1031PORT MAP (Request => Request(61), North => south_2_north(16)(1), West => east_2_west(16)(10), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
1032South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1033
1034Arbiter_17_2 : Arbiter
1035
1036PORT MAP (Request => Request(52), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
1037South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1038
1039Arbiter_17_3 : Arbiter
1040
1041PORT MAP (Request => Request(43), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
1042South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1043
1044Arbiter_17_4 : Arbiter
1045
1046PORT MAP (Request => Request(34), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
1047South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1048
1049Arbiter_17_5 : Arbiter
1050
1051PORT MAP (Request => Request(25), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
1052South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1053
1054Arbiter_17_6 : Arbiter
1055
1056PORT MAP (Request => Request(16), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
1057South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1058
1059Arbiter_17_7 : Arbiter
1060
1061PORT MAP (Request => Request(7), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
1062South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1063
1064Arbiter_17_8 : Arbiter
1065
1066PORT MAP (Request => Request(98), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
1067South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1068
1069Arbiter_17_9 : Arbiter
1070
1071PORT MAP (Request => Request(89), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
1072South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1073
1074Arbiter_17_10 : Arbiter
1075
1076PORT MAP (Request => Request(80), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
1077South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1078
1079-------------------------- Diagonale n° 18
1080
1081
1082Arbiter_18_1 : Arbiter
1083
1084PORT MAP (Request => Request(71), North => south_2_north(17)(1), West => east_2_west(17)(10), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
1085South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1086
1087Arbiter_18_2 : Arbiter
1088
1089PORT MAP (Request => Request(62), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
1090South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1091
1092Arbiter_18_3 : Arbiter
1093
1094PORT MAP (Request => Request(53), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
1095South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1096
1097Arbiter_18_4 : Arbiter
1098
1099PORT MAP (Request => Request(44), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
1100South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1101
1102Arbiter_18_5 : Arbiter
1103
1104PORT MAP (Request => Request(35), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
1105South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1106
1107Arbiter_18_6 : Arbiter
1108
1109PORT MAP (Request => Request(26), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
1110South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1111
1112Arbiter_18_7 : Arbiter
1113
1114PORT MAP (Request => Request(17), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
1115South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1116
1117Arbiter_18_8 : Arbiter
1118
1119PORT MAP (Request => Request(8), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
1120South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1121
1122Arbiter_18_9 : Arbiter
1123
1124PORT MAP (Request => Request(99), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
1125South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1126
1127Arbiter_18_10 : Arbiter
1128
1129PORT MAP (Request => Request(90), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
1130South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1131
1132-------------------------- Diagonale n° 19
1133
1134
1135Arbiter_19_1 : Arbiter
1136
1137PORT MAP (Request => Request(81), North => south_2_north(18)(1), West => east_2_west(18)(10), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
1138South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1139
1140Arbiter_19_2 : Arbiter
1141
1142PORT MAP (Request => Request(72), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
1143South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1144
1145Arbiter_19_3 : Arbiter
1146
1147PORT MAP (Request => Request(63), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
1148South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1149
1150Arbiter_19_4 : Arbiter
1151
1152PORT MAP (Request => Request(54), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
1153South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1154
1155Arbiter_19_5 : Arbiter
1156
1157PORT MAP (Request => Request(45), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
1158South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1159
1160Arbiter_19_6 : Arbiter
1161
1162PORT MAP (Request => Request(36), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
1163South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1164
1165Arbiter_19_7 : Arbiter
1166
1167PORT MAP (Request => Request(27), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
1168South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1169
1170Arbiter_19_8 : Arbiter
1171
1172PORT MAP (Request => Request(18), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
1173South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1174
1175Arbiter_19_9 : Arbiter
1176
1177PORT MAP (Request => Request(9), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
1178South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1179
1180Arbiter_19_10 : Arbiter
1181
1182PORT MAP (Request => Request(100), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
1183South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1184
1185
1186--processus permettant de roter la priorité des diagonales à chaque front d'horloge
1187 -- rotation round robin
1188         round_robin : process(clk)
1189        begin
1190                if rising_edge(clk) then
1191                 if reset ='1' then
1192                    Signal_priority <= "1111111111000000000";
1193                  elsif priority_rotation_en = '1' then
1194                    case Signal_priority is
1195                       when "1111111111000000000" => Signal_priority <= "0111111111100000000";
1196                       when "0111111111100000000" => Signal_priority <= "0011111111110000000";
1197                       when "0011111111110000000" => Signal_priority <= "0001111111111000000";
1198                       when "0001111111111000000" => Signal_priority <= "0000111111111100000";
1199                       when "0000111111111100000" => Signal_priority <= "0000011111111110000";
1200                       when "0000011111111110000" => Signal_priority <= "0000001111111111000";
1201                       when "0000001111111111000" => Signal_priority <= "0000000111111111100";
1202                       when "0000000111111111100" => Signal_priority <= "0000000011111111110";
1203                       when "0000000011111111110" => Signal_priority <= "0000000001111111111";
1204                       when "0000000001111111111" => Signal_priority <= "1111111111000000000";
1205                       when others    => Signal_priority <= "1111111111000000000";
1206                  end case;
1207                 end if;
1208             end if;
1209         end process;
1210
1211end Behavioral;
1212
Note: See TracBrowser for help on using the repository browser.