source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/NOC/SCHEDULER13_13.VHD @ 101

Last change on this file since 101 was 101, checked in by rolagamo, 10 years ago
File size: 103.5 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler13_13 is
32    Port ( Request : in  STD_LOGIC_VECTOR (169 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (13 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (13 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (169 downto 1));
38end Scheduler13_13;
39
40architecture Behavioral of Scheduler13_13 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(25 downto 1) of STD_LOGIC_VECTOR(13 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (25 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(169 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant,req_grant :  std_logic_vector(169 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61req_grant<=(request and grant_latch);
62 priority_rotation_en <= '1' when unsigned(req_grant) = 0 or unsigned(priority_rotation) = 8191 else    '0';
63--latch servant qui memorise le signal grant pendant a transmission
64grant_latch_process : process(clk)
65 begin
66  if rising_edge(clk) then
67   if reset = '1' then
68                grant_latch <= (others => '0');
69         elsif priority_rotation_en = '1' or unsigned(Grant_latch)=0 then
70           grant_latch <= Grant;
71   end if;
72   end if;
73 end process;
74 port_grant <= grant_latch;
75 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(14)(1); --  Grant(1,1)
76Grant(2)  <= Signal_grant(2)(2) or Signal_grant(15)(2); --  Grant(1,2)
77Grant(3)  <= Signal_grant(3)(3) or Signal_grant(16)(3); --  Grant(1,3)
78Grant(4)  <= Signal_grant(4)(4) or Signal_grant(17)(4); --  Grant(1,4)
79Grant(5)  <= Signal_grant(5)(5) or Signal_grant(18)(5); --  Grant(1,5)
80Grant(6)  <= Signal_grant(6)(6) or Signal_grant(19)(6); --  Grant(1,6)
81Grant(7)  <= Signal_grant(7)(7) or Signal_grant(20)(7); --  Grant(1,7)
82Grant(8)  <= Signal_grant(8)(8) or Signal_grant(21)(8); --  Grant(1,8)
83Grant(9)  <= Signal_grant(9)(9) or Signal_grant(22)(9); --  Grant(1,9)
84Grant(10)  <= Signal_grant(10)(10) or Signal_grant(23)(10); --  Grant(1,10)
85Grant(11)  <= Signal_grant(11)(11) or Signal_grant(24)(11); --  Grant(1,11)
86Grant(12)  <= Signal_grant(12)(12) or Signal_grant(25)(12); --  Grant(1,12)
87Grant(13)  <= Signal_grant(13)(13) ;                      --  Grant(1,13)
88Grant(14)  <= Signal_grant(2)(1) or Signal_grant(15)(1); --  Grant(2,1)
89Grant(15)  <= Signal_grant(3)(2) or Signal_grant(16)(2); --  Grant(2,2)
90Grant(16)  <= Signal_grant(4)(3) or Signal_grant(17)(3); --  Grant(2,3)
91Grant(17)  <= Signal_grant(5)(4) or Signal_grant(18)(4); --  Grant(2,4)
92Grant(18)  <= Signal_grant(6)(5) or Signal_grant(19)(5); --  Grant(2,5)
93Grant(19)  <= Signal_grant(7)(6) or Signal_grant(20)(6); --  Grant(2,6)
94Grant(20)  <= Signal_grant(8)(7) or Signal_grant(21)(7); --  Grant(2,7)
95Grant(21)  <= Signal_grant(9)(8) or Signal_grant(22)(8); --  Grant(2,8)
96Grant(22)  <= Signal_grant(10)(9) or Signal_grant(23)(9); --  Grant(2,9)
97Grant(23)  <= Signal_grant(11)(10) or Signal_grant(24)(10); --  Grant(2,10)
98Grant(24)  <= Signal_grant(12)(11) or Signal_grant(25)(11); --  Grant(2,11)
99Grant(25)  <= Signal_grant(13)(12) ;                      --  Grant(2,12)
100Grant(26)  <= Signal_grant(1)(13) or Signal_grant(14)(13); --  Grant(2,13)
101Grant(27)  <= Signal_grant(3)(1) or Signal_grant(16)(1); --  Grant(3,1)
102Grant(28)  <= Signal_grant(4)(2) or Signal_grant(17)(2); --  Grant(3,2)
103Grant(29)  <= Signal_grant(5)(3) or Signal_grant(18)(3); --  Grant(3,3)
104Grant(30)  <= Signal_grant(6)(4) or Signal_grant(19)(4); --  Grant(3,4)
105Grant(31)  <= Signal_grant(7)(5) or Signal_grant(20)(5); --  Grant(3,5)
106Grant(32)  <= Signal_grant(8)(6) or Signal_grant(21)(6); --  Grant(3,6)
107Grant(33)  <= Signal_grant(9)(7) or Signal_grant(22)(7); --  Grant(3,7)
108Grant(34)  <= Signal_grant(10)(8) or Signal_grant(23)(8); --  Grant(3,8)
109Grant(35)  <= Signal_grant(11)(9) or Signal_grant(24)(9); --  Grant(3,9)
110Grant(36)  <= Signal_grant(12)(10) or Signal_grant(25)(10); --  Grant(3,10)
111Grant(37)  <= Signal_grant(13)(11) ;                      --  Grant(3,11)
112Grant(38)  <= Signal_grant(1)(12) or Signal_grant(14)(12); --  Grant(3,12)
113Grant(39)  <= Signal_grant(2)(13) or Signal_grant(15)(13); --  Grant(3,13)
114Grant(40)  <= Signal_grant(4)(1) or Signal_grant(17)(1); --  Grant(4,1)
115Grant(41)  <= Signal_grant(5)(2) or Signal_grant(18)(2); --  Grant(4,2)
116Grant(42)  <= Signal_grant(6)(3) or Signal_grant(19)(3); --  Grant(4,3)
117Grant(43)  <= Signal_grant(7)(4) or Signal_grant(20)(4); --  Grant(4,4)
118Grant(44)  <= Signal_grant(8)(5) or Signal_grant(21)(5); --  Grant(4,5)
119Grant(45)  <= Signal_grant(9)(6) or Signal_grant(22)(6); --  Grant(4,6)
120Grant(46)  <= Signal_grant(10)(7) or Signal_grant(23)(7); --  Grant(4,7)
121Grant(47)  <= Signal_grant(11)(8) or Signal_grant(24)(8); --  Grant(4,8)
122Grant(48)  <= Signal_grant(12)(9) or Signal_grant(25)(9); --  Grant(4,9)
123Grant(49)  <= Signal_grant(13)(10) ;                      --  Grant(4,10)
124Grant(50)  <= Signal_grant(1)(11) or Signal_grant(14)(11); --  Grant(4,11)
125Grant(51)  <= Signal_grant(2)(12) or Signal_grant(15)(12); --  Grant(4,12)
126Grant(52)  <= Signal_grant(3)(13) or Signal_grant(16)(13); --  Grant(4,13)
127Grant(53)  <= Signal_grant(5)(1) or Signal_grant(18)(1); --  Grant(5,1)
128Grant(54)  <= Signal_grant(6)(2) or Signal_grant(19)(2); --  Grant(5,2)
129Grant(55)  <= Signal_grant(7)(3) or Signal_grant(20)(3); --  Grant(5,3)
130Grant(56)  <= Signal_grant(8)(4) or Signal_grant(21)(4); --  Grant(5,4)
131Grant(57)  <= Signal_grant(9)(5) or Signal_grant(22)(5); --  Grant(5,5)
132Grant(58)  <= Signal_grant(10)(6) or Signal_grant(23)(6); --  Grant(5,6)
133Grant(59)  <= Signal_grant(11)(7) or Signal_grant(24)(7); --  Grant(5,7)
134Grant(60)  <= Signal_grant(12)(8) or Signal_grant(25)(8); --  Grant(5,8)
135Grant(61)  <= Signal_grant(13)(9) ;                      --  Grant(5,9)
136Grant(62)  <= Signal_grant(1)(10) or Signal_grant(14)(10); --  Grant(5,10)
137Grant(63)  <= Signal_grant(2)(11) or Signal_grant(15)(11); --  Grant(5,11)
138Grant(64)  <= Signal_grant(3)(12) or Signal_grant(16)(12); --  Grant(5,12)
139Grant(65)  <= Signal_grant(4)(13) or Signal_grant(17)(13); --  Grant(5,13)
140Grant(66)  <= Signal_grant(6)(1) or Signal_grant(19)(1); --  Grant(6,1)
141Grant(67)  <= Signal_grant(7)(2) or Signal_grant(20)(2); --  Grant(6,2)
142Grant(68)  <= Signal_grant(8)(3) or Signal_grant(21)(3); --  Grant(6,3)
143Grant(69)  <= Signal_grant(9)(4) or Signal_grant(22)(4); --  Grant(6,4)
144Grant(70)  <= Signal_grant(10)(5) or Signal_grant(23)(5); --  Grant(6,5)
145Grant(71)  <= Signal_grant(11)(6) or Signal_grant(24)(6); --  Grant(6,6)
146Grant(72)  <= Signal_grant(12)(7) or Signal_grant(25)(7); --  Grant(6,7)
147Grant(73)  <= Signal_grant(13)(8) ;                      --  Grant(6,8)
148Grant(74)  <= Signal_grant(1)(9) or Signal_grant(14)(9); --  Grant(6,9)
149Grant(75)  <= Signal_grant(2)(10) or Signal_grant(15)(10); --  Grant(6,10)
150Grant(76)  <= Signal_grant(3)(11) or Signal_grant(16)(11); --  Grant(6,11)
151Grant(77)  <= Signal_grant(4)(12) or Signal_grant(17)(12); --  Grant(6,12)
152Grant(78)  <= Signal_grant(5)(13) or Signal_grant(18)(13); --  Grant(6,13)
153Grant(79)  <= Signal_grant(7)(1) or Signal_grant(20)(1); --  Grant(7,1)
154Grant(80)  <= Signal_grant(8)(2) or Signal_grant(21)(2); --  Grant(7,2)
155Grant(81)  <= Signal_grant(9)(3) or Signal_grant(22)(3); --  Grant(7,3)
156Grant(82)  <= Signal_grant(10)(4) or Signal_grant(23)(4); --  Grant(7,4)
157Grant(83)  <= Signal_grant(11)(5) or Signal_grant(24)(5); --  Grant(7,5)
158Grant(84)  <= Signal_grant(12)(6) or Signal_grant(25)(6); --  Grant(7,6)
159Grant(85)  <= Signal_grant(13)(7) ;                      --  Grant(7,7)
160Grant(86)  <= Signal_grant(1)(8) or Signal_grant(14)(8); --  Grant(7,8)
161Grant(87)  <= Signal_grant(2)(9) or Signal_grant(15)(9); --  Grant(7,9)
162Grant(88)  <= Signal_grant(3)(10) or Signal_grant(16)(10); --  Grant(7,10)
163Grant(89)  <= Signal_grant(4)(11) or Signal_grant(17)(11); --  Grant(7,11)
164Grant(90)  <= Signal_grant(5)(12) or Signal_grant(18)(12); --  Grant(7,12)
165Grant(91)  <= Signal_grant(6)(13) or Signal_grant(19)(13); --  Grant(7,13)
166Grant(92)  <= Signal_grant(8)(1) or Signal_grant(21)(1); --  Grant(8,1)
167Grant(93)  <= Signal_grant(9)(2) or Signal_grant(22)(2); --  Grant(8,2)
168Grant(94)  <= Signal_grant(10)(3) or Signal_grant(23)(3); --  Grant(8,3)
169Grant(95)  <= Signal_grant(11)(4) or Signal_grant(24)(4); --  Grant(8,4)
170Grant(96)  <= Signal_grant(12)(5) or Signal_grant(25)(5); --  Grant(8,5)
171Grant(97)  <= Signal_grant(13)(6) ;                      --  Grant(8,6)
172Grant(98)  <= Signal_grant(1)(7) or Signal_grant(14)(7); --  Grant(8,7)
173Grant(99)  <= Signal_grant(2)(8) or Signal_grant(15)(8); --  Grant(8,8)
174Grant(100)  <= Signal_grant(3)(9) or Signal_grant(16)(9); --  Grant(8,9)
175Grant(101)  <= Signal_grant(4)(10) or Signal_grant(17)(10); --  Grant(8,10)
176Grant(102)  <= Signal_grant(5)(11) or Signal_grant(18)(11); --  Grant(8,11)
177Grant(103)  <= Signal_grant(6)(12) or Signal_grant(19)(12); --  Grant(8,12)
178Grant(104)  <= Signal_grant(7)(13) or Signal_grant(20)(13); --  Grant(8,13)
179Grant(105)  <= Signal_grant(9)(1) or Signal_grant(22)(1); --  Grant(9,1)
180Grant(106)  <= Signal_grant(10)(2) or Signal_grant(23)(2); --  Grant(9,2)
181Grant(107)  <= Signal_grant(11)(3) or Signal_grant(24)(3); --  Grant(9,3)
182Grant(108)  <= Signal_grant(12)(4) or Signal_grant(25)(4); --  Grant(9,4)
183Grant(109)  <= Signal_grant(13)(5) ;                      --  Grant(9,5)
184Grant(110)  <= Signal_grant(1)(6) or Signal_grant(14)(6); --  Grant(9,6)
185Grant(111)  <= Signal_grant(2)(7) or Signal_grant(15)(7); --  Grant(9,7)
186Grant(112)  <= Signal_grant(3)(8) or Signal_grant(16)(8); --  Grant(9,8)
187Grant(113)  <= Signal_grant(4)(9) or Signal_grant(17)(9); --  Grant(9,9)
188Grant(114)  <= Signal_grant(5)(10) or Signal_grant(18)(10); --  Grant(9,10)
189Grant(115)  <= Signal_grant(6)(11) or Signal_grant(19)(11); --  Grant(9,11)
190Grant(116)  <= Signal_grant(7)(12) or Signal_grant(20)(12); --  Grant(9,12)
191Grant(117)  <= Signal_grant(8)(13) or Signal_grant(21)(13); --  Grant(9,13)
192Grant(118)  <= Signal_grant(10)(1) or Signal_grant(23)(1); --  Grant(10,1)
193Grant(119)  <= Signal_grant(11)(2) or Signal_grant(24)(2); --  Grant(10,2)
194Grant(120)  <= Signal_grant(12)(3) or Signal_grant(25)(3); --  Grant(10,3)
195Grant(121)  <= Signal_grant(13)(4) ;                      --  Grant(10,4)
196Grant(122)  <= Signal_grant(1)(5) or Signal_grant(14)(5); --  Grant(10,5)
197Grant(123)  <= Signal_grant(2)(6) or Signal_grant(15)(6); --  Grant(10,6)
198Grant(124)  <= Signal_grant(3)(7) or Signal_grant(16)(7); --  Grant(10,7)
199Grant(125)  <= Signal_grant(4)(8) or Signal_grant(17)(8); --  Grant(10,8)
200Grant(126)  <= Signal_grant(5)(9) or Signal_grant(18)(9); --  Grant(10,9)
201Grant(127)  <= Signal_grant(6)(10) or Signal_grant(19)(10); --  Grant(10,10)
202Grant(128)  <= Signal_grant(7)(11) or Signal_grant(20)(11); --  Grant(10,11)
203Grant(129)  <= Signal_grant(8)(12) or Signal_grant(21)(12); --  Grant(10,12)
204Grant(130)  <= Signal_grant(9)(13) or Signal_grant(22)(13); --  Grant(10,13)
205Grant(131)  <= Signal_grant(11)(1) or Signal_grant(24)(1); --  Grant(11,1)
206Grant(132)  <= Signal_grant(12)(2) or Signal_grant(25)(2); --  Grant(11,2)
207Grant(133)  <= Signal_grant(13)(3) ;                      --  Grant(11,3)
208Grant(134)  <= Signal_grant(1)(4) or Signal_grant(14)(4); --  Grant(11,4)
209Grant(135)  <= Signal_grant(2)(5) or Signal_grant(15)(5); --  Grant(11,5)
210Grant(136)  <= Signal_grant(3)(6) or Signal_grant(16)(6); --  Grant(11,6)
211Grant(137)  <= Signal_grant(4)(7) or Signal_grant(17)(7); --  Grant(11,7)
212Grant(138)  <= Signal_grant(5)(8) or Signal_grant(18)(8); --  Grant(11,8)
213Grant(139)  <= Signal_grant(6)(9) or Signal_grant(19)(9); --  Grant(11,9)
214Grant(140)  <= Signal_grant(7)(10) or Signal_grant(20)(10); --  Grant(11,10)
215Grant(141)  <= Signal_grant(8)(11) or Signal_grant(21)(11); --  Grant(11,11)
216Grant(142)  <= Signal_grant(9)(12) or Signal_grant(22)(12); --  Grant(11,12)
217Grant(143)  <= Signal_grant(10)(13) or Signal_grant(23)(13); --  Grant(11,13)
218Grant(144)  <= Signal_grant(12)(1) or Signal_grant(25)(1); --  Grant(12,1)
219Grant(145)  <= Signal_grant(13)(2) ;                      --  Grant(12,2)
220Grant(146)  <= Signal_grant(1)(3) or Signal_grant(14)(3); --  Grant(12,3)
221Grant(147)  <= Signal_grant(2)(4) or Signal_grant(15)(4); --  Grant(12,4)
222Grant(148)  <= Signal_grant(3)(5) or Signal_grant(16)(5); --  Grant(12,5)
223Grant(149)  <= Signal_grant(4)(6) or Signal_grant(17)(6); --  Grant(12,6)
224Grant(150)  <= Signal_grant(5)(7) or Signal_grant(18)(7); --  Grant(12,7)
225Grant(151)  <= Signal_grant(6)(8) or Signal_grant(19)(8); --  Grant(12,8)
226Grant(152)  <= Signal_grant(7)(9) or Signal_grant(20)(9); --  Grant(12,9)
227Grant(153)  <= Signal_grant(8)(10) or Signal_grant(21)(10); --  Grant(12,10)
228Grant(154)  <= Signal_grant(9)(11) or Signal_grant(22)(11); --  Grant(12,11)
229Grant(155)  <= Signal_grant(10)(12) or Signal_grant(23)(12); --  Grant(12,12)
230Grant(156)  <= Signal_grant(11)(13) or Signal_grant(24)(13); --  Grant(12,13)
231Grant(157)  <= Signal_grant(13)(1) ;                      --  Grant(13,1)
232Grant(158)  <= Signal_grant(1)(2) or Signal_grant(14)(2); --  Grant(13,2)
233Grant(159)  <= Signal_grant(2)(3) or Signal_grant(15)(3); --  Grant(13,3)
234Grant(160)  <= Signal_grant(3)(4) or Signal_grant(16)(4); --  Grant(13,4)
235Grant(161)  <= Signal_grant(4)(5) or Signal_grant(17)(5); --  Grant(13,5)
236Grant(162)  <= Signal_grant(5)(6) or Signal_grant(18)(6); --  Grant(13,6)
237Grant(163)  <= Signal_grant(6)(7) or Signal_grant(19)(7); --  Grant(13,7)
238Grant(164)  <= Signal_grant(7)(8) or Signal_grant(20)(8); --  Grant(13,8)
239Grant(165)  <= Signal_grant(8)(9) or Signal_grant(21)(9); --  Grant(13,9)
240Grant(166)  <= Signal_grant(9)(10) or Signal_grant(22)(10); --  Grant(13,10)
241Grant(167)  <= Signal_grant(10)(11) or Signal_grant(23)(11); --  Grant(13,11)
242Grant(168)  <= Signal_grant(11)(12) or Signal_grant(24)(12); --  Grant(13,12)
243Grant(169)  <= Signal_grant(12)(13) or Signal_grant(25)(13); --  Grant(13,13)
244High <= '1';
245
246----instantiations des cellules arbitres et interconnection
247
248-------------------------- Diagonale n° 1
249
250
251Arbiter_1_1 : Arbiter
252
253PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(1), 
254South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
255
256Arbiter_1_2 : Arbiter
257
258PORT MAP (Request => Request(158), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(2), 
259South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
260
261Arbiter_1_3 : Arbiter
262
263PORT MAP (Request => Request(146), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(3), 
264South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
265
266Arbiter_1_4 : Arbiter
267
268PORT MAP (Request => Request(134), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(4), 
269South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
270
271Arbiter_1_5 : Arbiter
272
273PORT MAP (Request => Request(122), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(5), 
274South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
275
276Arbiter_1_6 : Arbiter
277
278PORT MAP (Request => Request(110), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(6), 
279South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
280
281Arbiter_1_7 : Arbiter
282
283PORT MAP (Request => Request(98), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(7), 
284South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
285
286Arbiter_1_8 : Arbiter
287
288PORT MAP (Request => Request(86), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(8), 
289South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
290
291Arbiter_1_9 : Arbiter
292
293PORT MAP (Request => Request(74), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(9), 
294South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
295
296Arbiter_1_10 : Arbiter
297
298PORT MAP (Request => Request(62), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(10), 
299South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
300
301Arbiter_1_11 : Arbiter
302
303PORT MAP (Request => Request(50), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(11), 
304South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
305
306Arbiter_1_12 : Arbiter
307
308PORT MAP (Request => Request(38), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(12), 
309South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
310
311Arbiter_1_13 : Arbiter
312
313PORT MAP (Request => Request(26), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(13), 
314South => south_2_north(1)(13), East => east_2_west(1)(13) , Grant => Signal_grant(1)(13));
315
316-------------------------- Diagonale n° 2
317
318
319Arbiter_2_1 : Arbiter
320
321PORT MAP (Request => Request(14), North => south_2_north(1)(1), West => east_2_west(1)(13), P => Signal_priority(24), Fifo_full => Fifo_full(1), 
322South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
323
324Arbiter_2_2 : Arbiter
325
326PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(24), Fifo_full => Fifo_full(2), 
327South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
328
329Arbiter_2_3 : Arbiter
330
331PORT MAP (Request => Request(159), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(24), Fifo_full => Fifo_full(3), 
332South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
333
334Arbiter_2_4 : Arbiter
335
336PORT MAP (Request => Request(147), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(24), Fifo_full => Fifo_full(4), 
337South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
338
339Arbiter_2_5 : Arbiter
340
341PORT MAP (Request => Request(135), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(24), Fifo_full => Fifo_full(5), 
342South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
343
344Arbiter_2_6 : Arbiter
345
346PORT MAP (Request => Request(123), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(24), Fifo_full => Fifo_full(6), 
347South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
348
349Arbiter_2_7 : Arbiter
350
351PORT MAP (Request => Request(111), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(24), Fifo_full => Fifo_full(7), 
352South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
353
354Arbiter_2_8 : Arbiter
355
356PORT MAP (Request => Request(99), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(24), Fifo_full => Fifo_full(8), 
357South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
358
359Arbiter_2_9 : Arbiter
360
361PORT MAP (Request => Request(87), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(24), Fifo_full => Fifo_full(9), 
362South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
363
364Arbiter_2_10 : Arbiter
365
366PORT MAP (Request => Request(75), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(24), Fifo_full => Fifo_full(10), 
367South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
368
369Arbiter_2_11 : Arbiter
370
371PORT MAP (Request => Request(63), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(24), Fifo_full => Fifo_full(11), 
372South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
373
374Arbiter_2_12 : Arbiter
375
376PORT MAP (Request => Request(51), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(24), Fifo_full => Fifo_full(12), 
377South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
378
379Arbiter_2_13 : Arbiter
380
381PORT MAP (Request => Request(39), North => south_2_north(1)(13), West => east_2_west(1)(12), P => Signal_priority(24), Fifo_full => Fifo_full(13), 
382South => south_2_north(2)(13), East => east_2_west(2)(13) , Grant => Signal_grant(2)(13));
383
384-------------------------- Diagonale n° 3
385
386
387Arbiter_3_1 : Arbiter
388
389PORT MAP (Request => Request(27), North => south_2_north(2)(1), West => east_2_west(2)(13), P => Signal_priority(23), Fifo_full => Fifo_full(1), 
390South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
391
392Arbiter_3_2 : Arbiter
393
394PORT MAP (Request => Request(15), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(23), Fifo_full => Fifo_full(2), 
395South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
396
397Arbiter_3_3 : Arbiter
398
399PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(23), Fifo_full => Fifo_full(3), 
400South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
401
402Arbiter_3_4 : Arbiter
403
404PORT MAP (Request => Request(160), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(23), Fifo_full => Fifo_full(4), 
405South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
406
407Arbiter_3_5 : Arbiter
408
409PORT MAP (Request => Request(148), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(23), Fifo_full => Fifo_full(5), 
410South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
411
412Arbiter_3_6 : Arbiter
413
414PORT MAP (Request => Request(136), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(23), Fifo_full => Fifo_full(6), 
415South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
416
417Arbiter_3_7 : Arbiter
418
419PORT MAP (Request => Request(124), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(23), Fifo_full => Fifo_full(7), 
420South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
421
422Arbiter_3_8 : Arbiter
423
424PORT MAP (Request => Request(112), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(23), Fifo_full => Fifo_full(8), 
425South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
426
427Arbiter_3_9 : Arbiter
428
429PORT MAP (Request => Request(100), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(23), Fifo_full => Fifo_full(9), 
430South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
431
432Arbiter_3_10 : Arbiter
433
434PORT MAP (Request => Request(88), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(23), Fifo_full => Fifo_full(10), 
435South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
436
437Arbiter_3_11 : Arbiter
438
439PORT MAP (Request => Request(76), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(23), Fifo_full => Fifo_full(11), 
440South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
441
442Arbiter_3_12 : Arbiter
443
444PORT MAP (Request => Request(64), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(23), Fifo_full => Fifo_full(12), 
445South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
446
447Arbiter_3_13 : Arbiter
448
449PORT MAP (Request => Request(52), North => south_2_north(2)(13), West => east_2_west(2)(12), P => Signal_priority(23), Fifo_full => Fifo_full(13), 
450South => south_2_north(3)(13), East => east_2_west(3)(13) , Grant => Signal_grant(3)(13));
451
452-------------------------- Diagonale n° 4
453
454
455Arbiter_4_1 : Arbiter
456
457PORT MAP (Request => Request(40), North => south_2_north(3)(1), West => east_2_west(3)(13), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
458South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
459
460Arbiter_4_2 : Arbiter
461
462PORT MAP (Request => Request(28), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
463South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
464
465Arbiter_4_3 : Arbiter
466
467PORT MAP (Request => Request(16), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
468South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
469
470Arbiter_4_4 : Arbiter
471
472PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
473South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
474
475Arbiter_4_5 : Arbiter
476
477PORT MAP (Request => Request(161), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
478South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
479
480Arbiter_4_6 : Arbiter
481
482PORT MAP (Request => Request(149), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
483South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
484
485Arbiter_4_7 : Arbiter
486
487PORT MAP (Request => Request(137), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
488South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
489
490Arbiter_4_8 : Arbiter
491
492PORT MAP (Request => Request(125), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
493South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
494
495Arbiter_4_9 : Arbiter
496
497PORT MAP (Request => Request(113), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
498South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
499
500Arbiter_4_10 : Arbiter
501
502PORT MAP (Request => Request(101), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
503South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
504
505Arbiter_4_11 : Arbiter
506
507PORT MAP (Request => Request(89), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
508South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
509
510Arbiter_4_12 : Arbiter
511
512PORT MAP (Request => Request(77), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
513South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
514
515Arbiter_4_13 : Arbiter
516
517PORT MAP (Request => Request(65), North => south_2_north(3)(13), West => east_2_west(3)(12), P => Signal_priority(22), Fifo_full => Fifo_full(13), 
518South => south_2_north(4)(13), East => east_2_west(4)(13) , Grant => Signal_grant(4)(13));
519
520-------------------------- Diagonale n° 5
521
522
523Arbiter_5_1 : Arbiter
524
525PORT MAP (Request => Request(53), North => south_2_north(4)(1), West => east_2_west(4)(13), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
526South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
527
528Arbiter_5_2 : Arbiter
529
530PORT MAP (Request => Request(41), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
531South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
532
533Arbiter_5_3 : Arbiter
534
535PORT MAP (Request => Request(29), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
536South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
537
538Arbiter_5_4 : Arbiter
539
540PORT MAP (Request => Request(17), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
541South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
542
543Arbiter_5_5 : Arbiter
544
545PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
546South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
547
548Arbiter_5_6 : Arbiter
549
550PORT MAP (Request => Request(162), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
551South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
552
553Arbiter_5_7 : Arbiter
554
555PORT MAP (Request => Request(150), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
556South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
557
558Arbiter_5_8 : Arbiter
559
560PORT MAP (Request => Request(138), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
561South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
562
563Arbiter_5_9 : Arbiter
564
565PORT MAP (Request => Request(126), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
566South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
567
568Arbiter_5_10 : Arbiter
569
570PORT MAP (Request => Request(114), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
571South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
572
573Arbiter_5_11 : Arbiter
574
575PORT MAP (Request => Request(102), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
576South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
577
578Arbiter_5_12 : Arbiter
579
580PORT MAP (Request => Request(90), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
581South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
582
583Arbiter_5_13 : Arbiter
584
585PORT MAP (Request => Request(78), North => south_2_north(4)(13), West => east_2_west(4)(12), P => Signal_priority(21), Fifo_full => Fifo_full(13), 
586South => south_2_north(5)(13), East => east_2_west(5)(13) , Grant => Signal_grant(5)(13));
587
588-------------------------- Diagonale n° 6
589
590
591Arbiter_6_1 : Arbiter
592
593PORT MAP (Request => Request(66), North => south_2_north(5)(1), West => east_2_west(5)(13), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
594South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
595
596Arbiter_6_2 : Arbiter
597
598PORT MAP (Request => Request(54), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
599South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
600
601Arbiter_6_3 : Arbiter
602
603PORT MAP (Request => Request(42), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
604South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
605
606Arbiter_6_4 : Arbiter
607
608PORT MAP (Request => Request(30), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
609South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
610
611Arbiter_6_5 : Arbiter
612
613PORT MAP (Request => Request(18), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
614South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
615
616Arbiter_6_6 : Arbiter
617
618PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
619South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
620
621Arbiter_6_7 : Arbiter
622
623PORT MAP (Request => Request(163), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
624South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
625
626Arbiter_6_8 : Arbiter
627
628PORT MAP (Request => Request(151), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
629South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
630
631Arbiter_6_9 : Arbiter
632
633PORT MAP (Request => Request(139), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
634South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
635
636Arbiter_6_10 : Arbiter
637
638PORT MAP (Request => Request(127), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
639South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
640
641Arbiter_6_11 : Arbiter
642
643PORT MAP (Request => Request(115), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
644South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
645
646Arbiter_6_12 : Arbiter
647
648PORT MAP (Request => Request(103), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
649South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
650
651Arbiter_6_13 : Arbiter
652
653PORT MAP (Request => Request(91), North => south_2_north(5)(13), West => east_2_west(5)(12), P => Signal_priority(20), Fifo_full => Fifo_full(13), 
654South => south_2_north(6)(13), East => east_2_west(6)(13) , Grant => Signal_grant(6)(13));
655
656-------------------------- Diagonale n° 7
657
658
659Arbiter_7_1 : Arbiter
660
661PORT MAP (Request => Request(79), North => south_2_north(6)(1), West => east_2_west(6)(13), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
662South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
663
664Arbiter_7_2 : Arbiter
665
666PORT MAP (Request => Request(67), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
667South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
668
669Arbiter_7_3 : Arbiter
670
671PORT MAP (Request => Request(55), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
672South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
673
674Arbiter_7_4 : Arbiter
675
676PORT MAP (Request => Request(43), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
677South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
678
679Arbiter_7_5 : Arbiter
680
681PORT MAP (Request => Request(31), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
682South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
683
684Arbiter_7_6 : Arbiter
685
686PORT MAP (Request => Request(19), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
687South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
688
689Arbiter_7_7 : Arbiter
690
691PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
692South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
693
694Arbiter_7_8 : Arbiter
695
696PORT MAP (Request => Request(164), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
697South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
698
699Arbiter_7_9 : Arbiter
700
701PORT MAP (Request => Request(152), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
702South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
703
704Arbiter_7_10 : Arbiter
705
706PORT MAP (Request => Request(140), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
707South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
708
709Arbiter_7_11 : Arbiter
710
711PORT MAP (Request => Request(128), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
712South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
713
714Arbiter_7_12 : Arbiter
715
716PORT MAP (Request => Request(116), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
717South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
718
719Arbiter_7_13 : Arbiter
720
721PORT MAP (Request => Request(104), North => south_2_north(6)(13), West => east_2_west(6)(12), P => Signal_priority(19), Fifo_full => Fifo_full(13), 
722South => south_2_north(7)(13), East => east_2_west(7)(13) , Grant => Signal_grant(7)(13));
723
724-------------------------- Diagonale n° 8
725
726
727Arbiter_8_1 : Arbiter
728
729PORT MAP (Request => Request(92), North => south_2_north(7)(1), West => east_2_west(7)(13), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
730South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
731
732Arbiter_8_2 : Arbiter
733
734PORT MAP (Request => Request(80), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
735South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
736
737Arbiter_8_3 : Arbiter
738
739PORT MAP (Request => Request(68), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
740South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
741
742Arbiter_8_4 : Arbiter
743
744PORT MAP (Request => Request(56), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
745South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
746
747Arbiter_8_5 : Arbiter
748
749PORT MAP (Request => Request(44), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
750South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
751
752Arbiter_8_6 : Arbiter
753
754PORT MAP (Request => Request(32), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
755South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
756
757Arbiter_8_7 : Arbiter
758
759PORT MAP (Request => Request(20), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
760South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
761
762Arbiter_8_8 : Arbiter
763
764PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
765South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
766
767Arbiter_8_9 : Arbiter
768
769PORT MAP (Request => Request(165), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
770South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
771
772Arbiter_8_10 : Arbiter
773
774PORT MAP (Request => Request(153), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
775South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
776
777Arbiter_8_11 : Arbiter
778
779PORT MAP (Request => Request(141), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
780South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
781
782Arbiter_8_12 : Arbiter
783
784PORT MAP (Request => Request(129), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
785South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
786
787Arbiter_8_13 : Arbiter
788
789PORT MAP (Request => Request(117), North => south_2_north(7)(13), West => east_2_west(7)(12), P => Signal_priority(18), Fifo_full => Fifo_full(13), 
790South => south_2_north(8)(13), East => east_2_west(8)(13) , Grant => Signal_grant(8)(13));
791
792-------------------------- Diagonale n° 9
793
794
795Arbiter_9_1 : Arbiter
796
797PORT MAP (Request => Request(105), North => south_2_north(8)(1), West => east_2_west(8)(13), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
798South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
799
800Arbiter_9_2 : Arbiter
801
802PORT MAP (Request => Request(93), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
803South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
804
805Arbiter_9_3 : Arbiter
806
807PORT MAP (Request => Request(81), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
808South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
809
810Arbiter_9_4 : Arbiter
811
812PORT MAP (Request => Request(69), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
813South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
814
815Arbiter_9_5 : Arbiter
816
817PORT MAP (Request => Request(57), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
818South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
819
820Arbiter_9_6 : Arbiter
821
822PORT MAP (Request => Request(45), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
823South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
824
825Arbiter_9_7 : Arbiter
826
827PORT MAP (Request => Request(33), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
828South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
829
830Arbiter_9_8 : Arbiter
831
832PORT MAP (Request => Request(21), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
833South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
834
835Arbiter_9_9 : Arbiter
836
837PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
838South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
839
840Arbiter_9_10 : Arbiter
841
842PORT MAP (Request => Request(166), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
843South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
844
845Arbiter_9_11 : Arbiter
846
847PORT MAP (Request => Request(154), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
848South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
849
850Arbiter_9_12 : Arbiter
851
852PORT MAP (Request => Request(142), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
853South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
854
855Arbiter_9_13 : Arbiter
856
857PORT MAP (Request => Request(130), North => south_2_north(8)(13), West => east_2_west(8)(12), P => Signal_priority(17), Fifo_full => Fifo_full(13), 
858South => south_2_north(9)(13), East => east_2_west(9)(13) , Grant => Signal_grant(9)(13));
859
860-------------------------- Diagonale n° 10
861
862
863Arbiter_10_1 : Arbiter
864
865PORT MAP (Request => Request(118), North => south_2_north(9)(1), West => east_2_west(9)(13), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
866South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
867
868Arbiter_10_2 : Arbiter
869
870PORT MAP (Request => Request(106), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
871South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
872
873Arbiter_10_3 : Arbiter
874
875PORT MAP (Request => Request(94), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
876South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
877
878Arbiter_10_4 : Arbiter
879
880PORT MAP (Request => Request(82), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
881South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
882
883Arbiter_10_5 : Arbiter
884
885PORT MAP (Request => Request(70), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
886South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
887
888Arbiter_10_6 : Arbiter
889
890PORT MAP (Request => Request(58), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
891South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
892
893Arbiter_10_7 : Arbiter
894
895PORT MAP (Request => Request(46), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
896South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
897
898Arbiter_10_8 : Arbiter
899
900PORT MAP (Request => Request(34), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
901South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
902
903Arbiter_10_9 : Arbiter
904
905PORT MAP (Request => Request(22), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
906South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
907
908Arbiter_10_10 : Arbiter
909
910PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
911South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
912
913Arbiter_10_11 : Arbiter
914
915PORT MAP (Request => Request(167), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
916South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
917
918Arbiter_10_12 : Arbiter
919
920PORT MAP (Request => Request(155), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
921South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
922
923Arbiter_10_13 : Arbiter
924
925PORT MAP (Request => Request(143), North => south_2_north(9)(13), West => east_2_west(9)(12), P => Signal_priority(16), Fifo_full => Fifo_full(13), 
926South => south_2_north(10)(13), East => east_2_west(10)(13) , Grant => Signal_grant(10)(13));
927
928-------------------------- Diagonale n° 11
929
930
931Arbiter_11_1 : Arbiter
932
933PORT MAP (Request => Request(131), North => south_2_north(10)(1), West => east_2_west(10)(13), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
934South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
935
936Arbiter_11_2 : Arbiter
937
938PORT MAP (Request => Request(119), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
939South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
940
941Arbiter_11_3 : Arbiter
942
943PORT MAP (Request => Request(107), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
944South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
945
946Arbiter_11_4 : Arbiter
947
948PORT MAP (Request => Request(95), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
949South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
950
951Arbiter_11_5 : Arbiter
952
953PORT MAP (Request => Request(83), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
954South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
955
956Arbiter_11_6 : Arbiter
957
958PORT MAP (Request => Request(71), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
959South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
960
961Arbiter_11_7 : Arbiter
962
963PORT MAP (Request => Request(59), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
964South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
965
966Arbiter_11_8 : Arbiter
967
968PORT MAP (Request => Request(47), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
969South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
970
971Arbiter_11_9 : Arbiter
972
973PORT MAP (Request => Request(35), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
974South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
975
976Arbiter_11_10 : Arbiter
977
978PORT MAP (Request => Request(23), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
979South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
980
981Arbiter_11_11 : Arbiter
982
983PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
984South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
985
986Arbiter_11_12 : Arbiter
987
988PORT MAP (Request => Request(168), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
989South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
990
991Arbiter_11_13 : Arbiter
992
993PORT MAP (Request => Request(156), North => south_2_north(10)(13), West => east_2_west(10)(12), P => Signal_priority(15), Fifo_full => Fifo_full(13), 
994South => south_2_north(11)(13), East => east_2_west(11)(13) , Grant => Signal_grant(11)(13));
995
996-------------------------- Diagonale n° 12
997
998
999Arbiter_12_1 : Arbiter
1000
1001PORT MAP (Request => Request(144), North => south_2_north(11)(1), West => east_2_west(11)(13), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
1002South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
1003
1004Arbiter_12_2 : Arbiter
1005
1006PORT MAP (Request => Request(132), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
1007South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
1008
1009Arbiter_12_3 : Arbiter
1010
1011PORT MAP (Request => Request(120), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
1012South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
1013
1014Arbiter_12_4 : Arbiter
1015
1016PORT MAP (Request => Request(108), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
1017South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
1018
1019Arbiter_12_5 : Arbiter
1020
1021PORT MAP (Request => Request(96), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
1022South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
1023
1024Arbiter_12_6 : Arbiter
1025
1026PORT MAP (Request => Request(84), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
1027South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
1028
1029Arbiter_12_7 : Arbiter
1030
1031PORT MAP (Request => Request(72), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
1032South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
1033
1034Arbiter_12_8 : Arbiter
1035
1036PORT MAP (Request => Request(60), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
1037South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
1038
1039Arbiter_12_9 : Arbiter
1040
1041PORT MAP (Request => Request(48), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
1042South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
1043
1044Arbiter_12_10 : Arbiter
1045
1046PORT MAP (Request => Request(36), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
1047South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
1048
1049Arbiter_12_11 : Arbiter
1050
1051PORT MAP (Request => Request(24), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
1052South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
1053
1054Arbiter_12_12 : Arbiter
1055
1056PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
1057South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
1058
1059Arbiter_12_13 : Arbiter
1060
1061PORT MAP (Request => Request(169), North => south_2_north(11)(13), West => east_2_west(11)(12), P => Signal_priority(14), Fifo_full => Fifo_full(13), 
1062South => south_2_north(12)(13), East => east_2_west(12)(13) , Grant => Signal_grant(12)(13));
1063
1064-------------------------- Diagonale n° 13
1065
1066
1067Arbiter_13_1 : Arbiter
1068
1069PORT MAP (Request => Request(157), North => south_2_north(12)(1), West => east_2_west(12)(13), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
1070South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
1071
1072Arbiter_13_2 : Arbiter
1073
1074PORT MAP (Request => Request(145), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
1075South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
1076
1077Arbiter_13_3 : Arbiter
1078
1079PORT MAP (Request => Request(133), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
1080South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
1081
1082Arbiter_13_4 : Arbiter
1083
1084PORT MAP (Request => Request(121), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
1085South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1086
1087Arbiter_13_5 : Arbiter
1088
1089PORT MAP (Request => Request(109), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
1090South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1091
1092Arbiter_13_6 : Arbiter
1093
1094PORT MAP (Request => Request(97), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
1095South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1096
1097Arbiter_13_7 : Arbiter
1098
1099PORT MAP (Request => Request(85), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
1100South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1101
1102Arbiter_13_8 : Arbiter
1103
1104PORT MAP (Request => Request(73), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
1105South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1106
1107Arbiter_13_9 : Arbiter
1108
1109PORT MAP (Request => Request(61), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
1110South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1111
1112Arbiter_13_10 : Arbiter
1113
1114PORT MAP (Request => Request(49), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
1115South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1116
1117Arbiter_13_11 : Arbiter
1118
1119PORT MAP (Request => Request(37), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
1120South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1121
1122Arbiter_13_12 : Arbiter
1123
1124PORT MAP (Request => Request(25), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
1125South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1126
1127Arbiter_13_13 : Arbiter
1128
1129PORT MAP (Request => Request(13), North => south_2_north(12)(13), West => east_2_west(12)(12), P => Signal_priority(13), Fifo_full => Fifo_full(13), 
1130South => south_2_north(13)(13), East => east_2_west(13)(13) , Grant => Signal_grant(13)(13));
1131
1132-------------------------- Diagonale n° 14
1133
1134
1135Arbiter_14_1 : Arbiter
1136
1137PORT MAP (Request => Request(1), North => south_2_north(13)(1), West => east_2_west(13)(13), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
1138South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1139
1140Arbiter_14_2 : Arbiter
1141
1142PORT MAP (Request => Request(158), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
1143South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1144
1145Arbiter_14_3 : Arbiter
1146
1147PORT MAP (Request => Request(146), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
1148South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1149
1150Arbiter_14_4 : Arbiter
1151
1152PORT MAP (Request => Request(134), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
1153South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1154
1155Arbiter_14_5 : Arbiter
1156
1157PORT MAP (Request => Request(122), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
1158South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1159
1160Arbiter_14_6 : Arbiter
1161
1162PORT MAP (Request => Request(110), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
1163South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1164
1165Arbiter_14_7 : Arbiter
1166
1167PORT MAP (Request => Request(98), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
1168South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1169
1170Arbiter_14_8 : Arbiter
1171
1172PORT MAP (Request => Request(86), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
1173South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1174
1175Arbiter_14_9 : Arbiter
1176
1177PORT MAP (Request => Request(74), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
1178South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1179
1180Arbiter_14_10 : Arbiter
1181
1182PORT MAP (Request => Request(62), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
1183South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1184
1185Arbiter_14_11 : Arbiter
1186
1187PORT MAP (Request => Request(50), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
1188South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1189
1190Arbiter_14_12 : Arbiter
1191
1192PORT MAP (Request => Request(38), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
1193South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1194
1195Arbiter_14_13 : Arbiter
1196
1197PORT MAP (Request => Request(26), North => south_2_north(13)(13), West => east_2_west(13)(12), P => Signal_priority(12), Fifo_full => Fifo_full(13), 
1198South => south_2_north(14)(13), East => east_2_west(14)(13) , Grant => Signal_grant(14)(13));
1199
1200-------------------------- Diagonale n° 15
1201
1202
1203Arbiter_15_1 : Arbiter
1204
1205PORT MAP (Request => Request(14), North => south_2_north(14)(1), West => east_2_west(14)(13), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
1206South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1207
1208Arbiter_15_2 : Arbiter
1209
1210PORT MAP (Request => Request(2), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
1211South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1212
1213Arbiter_15_3 : Arbiter
1214
1215PORT MAP (Request => Request(159), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
1216South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1217
1218Arbiter_15_4 : Arbiter
1219
1220PORT MAP (Request => Request(147), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
1221South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1222
1223Arbiter_15_5 : Arbiter
1224
1225PORT MAP (Request => Request(135), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
1226South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1227
1228Arbiter_15_6 : Arbiter
1229
1230PORT MAP (Request => Request(123), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
1231South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1232
1233Arbiter_15_7 : Arbiter
1234
1235PORT MAP (Request => Request(111), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
1236South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1237
1238Arbiter_15_8 : Arbiter
1239
1240PORT MAP (Request => Request(99), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
1241South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1242
1243Arbiter_15_9 : Arbiter
1244
1245PORT MAP (Request => Request(87), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
1246South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1247
1248Arbiter_15_10 : Arbiter
1249
1250PORT MAP (Request => Request(75), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
1251South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1252
1253Arbiter_15_11 : Arbiter
1254
1255PORT MAP (Request => Request(63), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
1256South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1257
1258Arbiter_15_12 : Arbiter
1259
1260PORT MAP (Request => Request(51), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
1261South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1262
1263Arbiter_15_13 : Arbiter
1264
1265PORT MAP (Request => Request(39), North => south_2_north(14)(13), West => east_2_west(14)(12), P => Signal_priority(11), Fifo_full => Fifo_full(13), 
1266South => south_2_north(15)(13), East => east_2_west(15)(13) , Grant => Signal_grant(15)(13));
1267
1268-------------------------- Diagonale n° 16
1269
1270
1271Arbiter_16_1 : Arbiter
1272
1273PORT MAP (Request => Request(27), North => south_2_north(15)(1), West => east_2_west(15)(13), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
1274South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1275
1276Arbiter_16_2 : Arbiter
1277
1278PORT MAP (Request => Request(15), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
1279South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1280
1281Arbiter_16_3 : Arbiter
1282
1283PORT MAP (Request => Request(3), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
1284South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1285
1286Arbiter_16_4 : Arbiter
1287
1288PORT MAP (Request => Request(160), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
1289South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1290
1291Arbiter_16_5 : Arbiter
1292
1293PORT MAP (Request => Request(148), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
1294South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1295
1296Arbiter_16_6 : Arbiter
1297
1298PORT MAP (Request => Request(136), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
1299South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1300
1301Arbiter_16_7 : Arbiter
1302
1303PORT MAP (Request => Request(124), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
1304South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1305
1306Arbiter_16_8 : Arbiter
1307
1308PORT MAP (Request => Request(112), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
1309South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1310
1311Arbiter_16_9 : Arbiter
1312
1313PORT MAP (Request => Request(100), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
1314South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1315
1316Arbiter_16_10 : Arbiter
1317
1318PORT MAP (Request => Request(88), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
1319South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1320
1321Arbiter_16_11 : Arbiter
1322
1323PORT MAP (Request => Request(76), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
1324South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1325
1326Arbiter_16_12 : Arbiter
1327
1328PORT MAP (Request => Request(64), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
1329South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1330
1331Arbiter_16_13 : Arbiter
1332
1333PORT MAP (Request => Request(52), North => south_2_north(15)(13), West => east_2_west(15)(12), P => Signal_priority(10), Fifo_full => Fifo_full(13), 
1334South => south_2_north(16)(13), East => east_2_west(16)(13) , Grant => Signal_grant(16)(13));
1335
1336-------------------------- Diagonale n° 17
1337
1338
1339Arbiter_17_1 : Arbiter
1340
1341PORT MAP (Request => Request(40), North => south_2_north(16)(1), West => east_2_west(16)(13), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
1342South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1343
1344Arbiter_17_2 : Arbiter
1345
1346PORT MAP (Request => Request(28), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
1347South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1348
1349Arbiter_17_3 : Arbiter
1350
1351PORT MAP (Request => Request(16), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
1352South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1353
1354Arbiter_17_4 : Arbiter
1355
1356PORT MAP (Request => Request(4), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
1357South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1358
1359Arbiter_17_5 : Arbiter
1360
1361PORT MAP (Request => Request(161), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
1362South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1363
1364Arbiter_17_6 : Arbiter
1365
1366PORT MAP (Request => Request(149), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
1367South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1368
1369Arbiter_17_7 : Arbiter
1370
1371PORT MAP (Request => Request(137), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
1372South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1373
1374Arbiter_17_8 : Arbiter
1375
1376PORT MAP (Request => Request(125), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
1377South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1378
1379Arbiter_17_9 : Arbiter
1380
1381PORT MAP (Request => Request(113), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
1382South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1383
1384Arbiter_17_10 : Arbiter
1385
1386PORT MAP (Request => Request(101), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
1387South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1388
1389Arbiter_17_11 : Arbiter
1390
1391PORT MAP (Request => Request(89), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
1392South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1393
1394Arbiter_17_12 : Arbiter
1395
1396PORT MAP (Request => Request(77), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
1397South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1398
1399Arbiter_17_13 : Arbiter
1400
1401PORT MAP (Request => Request(65), North => south_2_north(16)(13), West => east_2_west(16)(12), P => Signal_priority(9), Fifo_full => Fifo_full(13), 
1402South => south_2_north(17)(13), East => east_2_west(17)(13) , Grant => Signal_grant(17)(13));
1403
1404-------------------------- Diagonale n° 18
1405
1406
1407Arbiter_18_1 : Arbiter
1408
1409PORT MAP (Request => Request(53), North => south_2_north(17)(1), West => east_2_west(17)(13), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
1410South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1411
1412Arbiter_18_2 : Arbiter
1413
1414PORT MAP (Request => Request(41), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
1415South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1416
1417Arbiter_18_3 : Arbiter
1418
1419PORT MAP (Request => Request(29), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
1420South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1421
1422Arbiter_18_4 : Arbiter
1423
1424PORT MAP (Request => Request(17), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
1425South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1426
1427Arbiter_18_5 : Arbiter
1428
1429PORT MAP (Request => Request(5), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
1430South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1431
1432Arbiter_18_6 : Arbiter
1433
1434PORT MAP (Request => Request(162), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
1435South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1436
1437Arbiter_18_7 : Arbiter
1438
1439PORT MAP (Request => Request(150), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
1440South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1441
1442Arbiter_18_8 : Arbiter
1443
1444PORT MAP (Request => Request(138), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
1445South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1446
1447Arbiter_18_9 : Arbiter
1448
1449PORT MAP (Request => Request(126), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
1450South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1451
1452Arbiter_18_10 : Arbiter
1453
1454PORT MAP (Request => Request(114), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
1455South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1456
1457Arbiter_18_11 : Arbiter
1458
1459PORT MAP (Request => Request(102), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
1460South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1461
1462Arbiter_18_12 : Arbiter
1463
1464PORT MAP (Request => Request(90), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
1465South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1466
1467Arbiter_18_13 : Arbiter
1468
1469PORT MAP (Request => Request(78), North => south_2_north(17)(13), West => east_2_west(17)(12), P => Signal_priority(8), Fifo_full => Fifo_full(13), 
1470South => south_2_north(18)(13), East => east_2_west(18)(13) , Grant => Signal_grant(18)(13));
1471
1472-------------------------- Diagonale n° 19
1473
1474
1475Arbiter_19_1 : Arbiter
1476
1477PORT MAP (Request => Request(66), North => south_2_north(18)(1), West => east_2_west(18)(13), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
1478South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1479
1480Arbiter_19_2 : Arbiter
1481
1482PORT MAP (Request => Request(54), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
1483South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1484
1485Arbiter_19_3 : Arbiter
1486
1487PORT MAP (Request => Request(42), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
1488South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1489
1490Arbiter_19_4 : Arbiter
1491
1492PORT MAP (Request => Request(30), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
1493South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1494
1495Arbiter_19_5 : Arbiter
1496
1497PORT MAP (Request => Request(18), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
1498South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1499
1500Arbiter_19_6 : Arbiter
1501
1502PORT MAP (Request => Request(6), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
1503South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1504
1505Arbiter_19_7 : Arbiter
1506
1507PORT MAP (Request => Request(163), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
1508South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1509
1510Arbiter_19_8 : Arbiter
1511
1512PORT MAP (Request => Request(151), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
1513South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1514
1515Arbiter_19_9 : Arbiter
1516
1517PORT MAP (Request => Request(139), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
1518South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1519
1520Arbiter_19_10 : Arbiter
1521
1522PORT MAP (Request => Request(127), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
1523South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1524
1525Arbiter_19_11 : Arbiter
1526
1527PORT MAP (Request => Request(115), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
1528South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1529
1530Arbiter_19_12 : Arbiter
1531
1532PORT MAP (Request => Request(103), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
1533South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1534
1535Arbiter_19_13 : Arbiter
1536
1537PORT MAP (Request => Request(91), North => south_2_north(18)(13), West => east_2_west(18)(12), P => Signal_priority(7), Fifo_full => Fifo_full(13), 
1538South => south_2_north(19)(13), East => east_2_west(19)(13) , Grant => Signal_grant(19)(13));
1539
1540-------------------------- Diagonale n° 20
1541
1542
1543Arbiter_20_1 : Arbiter
1544
1545PORT MAP (Request => Request(79), North => south_2_north(19)(1), West => east_2_west(19)(13), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
1546South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1547
1548Arbiter_20_2 : Arbiter
1549
1550PORT MAP (Request => Request(67), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
1551South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1552
1553Arbiter_20_3 : Arbiter
1554
1555PORT MAP (Request => Request(55), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
1556South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1557
1558Arbiter_20_4 : Arbiter
1559
1560PORT MAP (Request => Request(43), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
1561South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1562
1563Arbiter_20_5 : Arbiter
1564
1565PORT MAP (Request => Request(31), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
1566South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1567
1568Arbiter_20_6 : Arbiter
1569
1570PORT MAP (Request => Request(19), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
1571South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1572
1573Arbiter_20_7 : Arbiter
1574
1575PORT MAP (Request => Request(7), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
1576South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1577
1578Arbiter_20_8 : Arbiter
1579
1580PORT MAP (Request => Request(164), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
1581South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1582
1583Arbiter_20_9 : Arbiter
1584
1585PORT MAP (Request => Request(152), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
1586South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1587
1588Arbiter_20_10 : Arbiter
1589
1590PORT MAP (Request => Request(140), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
1591South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1592
1593Arbiter_20_11 : Arbiter
1594
1595PORT MAP (Request => Request(128), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
1596South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1597
1598Arbiter_20_12 : Arbiter
1599
1600PORT MAP (Request => Request(116), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
1601South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1602
1603Arbiter_20_13 : Arbiter
1604
1605PORT MAP (Request => Request(104), North => south_2_north(19)(13), West => east_2_west(19)(12), P => Signal_priority(6), Fifo_full => Fifo_full(13), 
1606South => south_2_north(20)(13), East => east_2_west(20)(13) , Grant => Signal_grant(20)(13));
1607
1608-------------------------- Diagonale n° 21
1609
1610
1611Arbiter_21_1 : Arbiter
1612
1613PORT MAP (Request => Request(92), North => south_2_north(20)(1), West => east_2_west(20)(13), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
1614South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
1615
1616Arbiter_21_2 : Arbiter
1617
1618PORT MAP (Request => Request(80), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
1619South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
1620
1621Arbiter_21_3 : Arbiter
1622
1623PORT MAP (Request => Request(68), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
1624South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
1625
1626Arbiter_21_4 : Arbiter
1627
1628PORT MAP (Request => Request(56), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
1629South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
1630
1631Arbiter_21_5 : Arbiter
1632
1633PORT MAP (Request => Request(44), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
1634South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
1635
1636Arbiter_21_6 : Arbiter
1637
1638PORT MAP (Request => Request(32), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
1639South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
1640
1641Arbiter_21_7 : Arbiter
1642
1643PORT MAP (Request => Request(20), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
1644South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
1645
1646Arbiter_21_8 : Arbiter
1647
1648PORT MAP (Request => Request(8), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
1649South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
1650
1651Arbiter_21_9 : Arbiter
1652
1653PORT MAP (Request => Request(165), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
1654South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
1655
1656Arbiter_21_10 : Arbiter
1657
1658PORT MAP (Request => Request(153), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
1659South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
1660
1661Arbiter_21_11 : Arbiter
1662
1663PORT MAP (Request => Request(141), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
1664South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
1665
1666Arbiter_21_12 : Arbiter
1667
1668PORT MAP (Request => Request(129), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
1669South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
1670
1671Arbiter_21_13 : Arbiter
1672
1673PORT MAP (Request => Request(117), North => south_2_north(20)(13), West => east_2_west(20)(12), P => Signal_priority(5), Fifo_full => Fifo_full(13), 
1674South => south_2_north(21)(13), East => east_2_west(21)(13) , Grant => Signal_grant(21)(13));
1675
1676-------------------------- Diagonale n° 22
1677
1678
1679Arbiter_22_1 : Arbiter
1680
1681PORT MAP (Request => Request(105), North => south_2_north(21)(1), West => east_2_west(21)(13), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
1682South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
1683
1684Arbiter_22_2 : Arbiter
1685
1686PORT MAP (Request => Request(93), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
1687South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
1688
1689Arbiter_22_3 : Arbiter
1690
1691PORT MAP (Request => Request(81), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
1692South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
1693
1694Arbiter_22_4 : Arbiter
1695
1696PORT MAP (Request => Request(69), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
1697South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
1698
1699Arbiter_22_5 : Arbiter
1700
1701PORT MAP (Request => Request(57), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
1702South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
1703
1704Arbiter_22_6 : Arbiter
1705
1706PORT MAP (Request => Request(45), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
1707South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
1708
1709Arbiter_22_7 : Arbiter
1710
1711PORT MAP (Request => Request(33), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
1712South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
1713
1714Arbiter_22_8 : Arbiter
1715
1716PORT MAP (Request => Request(21), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
1717South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
1718
1719Arbiter_22_9 : Arbiter
1720
1721PORT MAP (Request => Request(9), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
1722South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
1723
1724Arbiter_22_10 : Arbiter
1725
1726PORT MAP (Request => Request(166), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
1727South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
1728
1729Arbiter_22_11 : Arbiter
1730
1731PORT MAP (Request => Request(154), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
1732South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
1733
1734Arbiter_22_12 : Arbiter
1735
1736PORT MAP (Request => Request(142), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
1737South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
1738
1739Arbiter_22_13 : Arbiter
1740
1741PORT MAP (Request => Request(130), North => south_2_north(21)(13), West => east_2_west(21)(12), P => Signal_priority(4), Fifo_full => Fifo_full(13), 
1742South => south_2_north(22)(13), East => east_2_west(22)(13) , Grant => Signal_grant(22)(13));
1743
1744-------------------------- Diagonale n° 23
1745
1746
1747Arbiter_23_1 : Arbiter
1748
1749PORT MAP (Request => Request(118), North => south_2_north(22)(1), West => east_2_west(22)(13), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
1750South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
1751
1752Arbiter_23_2 : Arbiter
1753
1754PORT MAP (Request => Request(106), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
1755South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
1756
1757Arbiter_23_3 : Arbiter
1758
1759PORT MAP (Request => Request(94), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
1760South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
1761
1762Arbiter_23_4 : Arbiter
1763
1764PORT MAP (Request => Request(82), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
1765South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
1766
1767Arbiter_23_5 : Arbiter
1768
1769PORT MAP (Request => Request(70), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
1770South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
1771
1772Arbiter_23_6 : Arbiter
1773
1774PORT MAP (Request => Request(58), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
1775South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
1776
1777Arbiter_23_7 : Arbiter
1778
1779PORT MAP (Request => Request(46), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
1780South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
1781
1782Arbiter_23_8 : Arbiter
1783
1784PORT MAP (Request => Request(34), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
1785South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
1786
1787Arbiter_23_9 : Arbiter
1788
1789PORT MAP (Request => Request(22), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
1790South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
1791
1792Arbiter_23_10 : Arbiter
1793
1794PORT MAP (Request => Request(10), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
1795South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
1796
1797Arbiter_23_11 : Arbiter
1798
1799PORT MAP (Request => Request(167), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
1800South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
1801
1802Arbiter_23_12 : Arbiter
1803
1804PORT MAP (Request => Request(155), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
1805South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
1806
1807Arbiter_23_13 : Arbiter
1808
1809PORT MAP (Request => Request(143), North => south_2_north(22)(13), West => east_2_west(22)(12), P => Signal_priority(3), Fifo_full => Fifo_full(13), 
1810South => south_2_north(23)(13), East => east_2_west(23)(13) , Grant => Signal_grant(23)(13));
1811
1812-------------------------- Diagonale n° 24
1813
1814
1815Arbiter_24_1 : Arbiter
1816
1817PORT MAP (Request => Request(131), North => south_2_north(23)(1), West => east_2_west(23)(13), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
1818South => south_2_north(24)(1), East => east_2_west(24)(1) , Grant => Signal_grant(24)(1));
1819
1820Arbiter_24_2 : Arbiter
1821
1822PORT MAP (Request => Request(119), North => south_2_north(23)(2), West => east_2_west(23)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
1823South => south_2_north(24)(2), East => east_2_west(24)(2) , Grant => Signal_grant(24)(2));
1824
1825Arbiter_24_3 : Arbiter
1826
1827PORT MAP (Request => Request(107), North => south_2_north(23)(3), West => east_2_west(23)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
1828South => south_2_north(24)(3), East => east_2_west(24)(3) , Grant => Signal_grant(24)(3));
1829
1830Arbiter_24_4 : Arbiter
1831
1832PORT MAP (Request => Request(95), North => south_2_north(23)(4), West => east_2_west(23)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
1833South => south_2_north(24)(4), East => east_2_west(24)(4) , Grant => Signal_grant(24)(4));
1834
1835Arbiter_24_5 : Arbiter
1836
1837PORT MAP (Request => Request(83), North => south_2_north(23)(5), West => east_2_west(23)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
1838South => south_2_north(24)(5), East => east_2_west(24)(5) , Grant => Signal_grant(24)(5));
1839
1840Arbiter_24_6 : Arbiter
1841
1842PORT MAP (Request => Request(71), North => south_2_north(23)(6), West => east_2_west(23)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
1843South => south_2_north(24)(6), East => east_2_west(24)(6) , Grant => Signal_grant(24)(6));
1844
1845Arbiter_24_7 : Arbiter
1846
1847PORT MAP (Request => Request(59), North => south_2_north(23)(7), West => east_2_west(23)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
1848South => south_2_north(24)(7), East => east_2_west(24)(7) , Grant => Signal_grant(24)(7));
1849
1850Arbiter_24_8 : Arbiter
1851
1852PORT MAP (Request => Request(47), North => south_2_north(23)(8), West => east_2_west(23)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
1853South => south_2_north(24)(8), East => east_2_west(24)(8) , Grant => Signal_grant(24)(8));
1854
1855Arbiter_24_9 : Arbiter
1856
1857PORT MAP (Request => Request(35), North => south_2_north(23)(9), West => east_2_west(23)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
1858South => south_2_north(24)(9), East => east_2_west(24)(9) , Grant => Signal_grant(24)(9));
1859
1860Arbiter_24_10 : Arbiter
1861
1862PORT MAP (Request => Request(23), North => south_2_north(23)(10), West => east_2_west(23)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
1863South => south_2_north(24)(10), East => east_2_west(24)(10) , Grant => Signal_grant(24)(10));
1864
1865Arbiter_24_11 : Arbiter
1866
1867PORT MAP (Request => Request(11), North => south_2_north(23)(11), West => east_2_west(23)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
1868South => south_2_north(24)(11), East => east_2_west(24)(11) , Grant => Signal_grant(24)(11));
1869
1870Arbiter_24_12 : Arbiter
1871
1872PORT MAP (Request => Request(168), North => south_2_north(23)(12), West => east_2_west(23)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
1873South => south_2_north(24)(12), East => east_2_west(24)(12) , Grant => Signal_grant(24)(12));
1874
1875Arbiter_24_13 : Arbiter
1876
1877PORT MAP (Request => Request(156), North => south_2_north(23)(13), West => east_2_west(23)(12), P => Signal_priority(2), Fifo_full => Fifo_full(13), 
1878South => south_2_north(24)(13), East => east_2_west(24)(13) , Grant => Signal_grant(24)(13));
1879
1880-------------------------- Diagonale n° 25
1881
1882
1883Arbiter_25_1 : Arbiter
1884
1885PORT MAP (Request => Request(144), North => south_2_north(24)(1), West => east_2_west(24)(13), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
1886South => south_2_north(25)(1), East => east_2_west(25)(1) , Grant => Signal_grant(25)(1));
1887
1888Arbiter_25_2 : Arbiter
1889
1890PORT MAP (Request => Request(132), North => south_2_north(24)(2), West => east_2_west(24)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
1891South => south_2_north(25)(2), East => east_2_west(25)(2) , Grant => Signal_grant(25)(2));
1892
1893Arbiter_25_3 : Arbiter
1894
1895PORT MAP (Request => Request(120), North => south_2_north(24)(3), West => east_2_west(24)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
1896South => south_2_north(25)(3), East => east_2_west(25)(3) , Grant => Signal_grant(25)(3));
1897
1898Arbiter_25_4 : Arbiter
1899
1900PORT MAP (Request => Request(108), North => south_2_north(24)(4), West => east_2_west(24)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
1901South => south_2_north(25)(4), East => east_2_west(25)(4) , Grant => Signal_grant(25)(4));
1902
1903Arbiter_25_5 : Arbiter
1904
1905PORT MAP (Request => Request(96), North => south_2_north(24)(5), West => east_2_west(24)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
1906South => south_2_north(25)(5), East => east_2_west(25)(5) , Grant => Signal_grant(25)(5));
1907
1908Arbiter_25_6 : Arbiter
1909
1910PORT MAP (Request => Request(84), North => south_2_north(24)(6), West => east_2_west(24)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
1911South => south_2_north(25)(6), East => east_2_west(25)(6) , Grant => Signal_grant(25)(6));
1912
1913Arbiter_25_7 : Arbiter
1914
1915PORT MAP (Request => Request(72), North => south_2_north(24)(7), West => east_2_west(24)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
1916South => south_2_north(25)(7), East => east_2_west(25)(7) , Grant => Signal_grant(25)(7));
1917
1918Arbiter_25_8 : Arbiter
1919
1920PORT MAP (Request => Request(60), North => south_2_north(24)(8), West => east_2_west(24)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
1921South => south_2_north(25)(8), East => east_2_west(25)(8) , Grant => Signal_grant(25)(8));
1922
1923Arbiter_25_9 : Arbiter
1924
1925PORT MAP (Request => Request(48), North => south_2_north(24)(9), West => east_2_west(24)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
1926South => south_2_north(25)(9), East => east_2_west(25)(9) , Grant => Signal_grant(25)(9));
1927
1928Arbiter_25_10 : Arbiter
1929
1930PORT MAP (Request => Request(36), North => south_2_north(24)(10), West => east_2_west(24)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
1931South => south_2_north(25)(10), East => east_2_west(25)(10) , Grant => Signal_grant(25)(10));
1932
1933Arbiter_25_11 : Arbiter
1934
1935PORT MAP (Request => Request(24), North => south_2_north(24)(11), West => east_2_west(24)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
1936South => south_2_north(25)(11), East => east_2_west(25)(11) , Grant => Signal_grant(25)(11));
1937
1938Arbiter_25_12 : Arbiter
1939
1940PORT MAP (Request => Request(12), North => south_2_north(24)(12), West => east_2_west(24)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
1941South => south_2_north(25)(12), East => east_2_west(25)(12) , Grant => Signal_grant(25)(12));
1942
1943Arbiter_25_13 : Arbiter
1944
1945PORT MAP (Request => Request(169), North => south_2_north(24)(13), West => east_2_west(24)(12), P => Signal_priority(1), Fifo_full => Fifo_full(13), 
1946South => south_2_north(25)(13), East => east_2_west(25)(13) , Grant => Signal_grant(25)(13));
1947
1948
1949--processus permettant de roter la priorité des diagonales à chaque front d'horloge
1950 -- rotation round robin
1951         round_robin : process(clk)
1952        begin
1953                if rising_edge(clk) then
1954                 if reset ='1' then
1955                    Signal_priority <= "1111111111111000000000000";
1956                  elsif priority_rotation_en = '1' then
1957                    case Signal_priority is
1958                       when "1111111111111000000000000" => Signal_priority <= "0111111111111100000000000";
1959                       when "0111111111111100000000000" => Signal_priority <= "0011111111111110000000000";
1960                       when "0011111111111110000000000" => Signal_priority <= "0001111111111111000000000";
1961                       when "0001111111111111000000000" => Signal_priority <= "0000111111111111100000000";
1962                       when "0000111111111111100000000" => Signal_priority <= "0000011111111111110000000";
1963                       when "0000011111111111110000000" => Signal_priority <= "0000001111111111111000000";
1964                       when "0000001111111111111000000" => Signal_priority <= "0000000111111111111100000";
1965                       when "0000000111111111111100000" => Signal_priority <= "0000000011111111111110000";
1966                       when "0000000011111111111110000" => Signal_priority <= "0000000001111111111111000";
1967                       when "0000000001111111111111000" => Signal_priority <= "0000000000111111111111100";
1968                       when "0000000000111111111111100" => Signal_priority <= "0000000000011111111111110";
1969                       when "0000000000011111111111110" => Signal_priority <= "0000000000001111111111111";
1970                       when "0000000000001111111111111" => Signal_priority <= "1111111111111000000000000";
1971                       when others    => Signal_priority <= "1111111111111000000000000";
1972                  end case;
1973                 end if;
1974             end if;
1975         end process;
1976
1977end Behavioral;
1978
Note: See TracBrowser for help on using the repository browser.