source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/NOC/SCHEDULER14_14.VHD @ 101

Last change on this file since 101 was 101, checked in by rolagamo, 10 years ago
File size: 120.0 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler14_14 is
32    Port ( Request : in  STD_LOGIC_VECTOR (196 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (14 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (14 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (196 downto 1));
38end Scheduler14_14;
39
40architecture Behavioral of Scheduler14_14 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(27 downto 1) of STD_LOGIC_VECTOR(14 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (27 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(196 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant,req_grant :  std_logic_vector(196 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61req_grant<=(request and grant_latch);
62 priority_rotation_en <= '1' when unsigned(req_grant) = 0 or unsigned(priority_rotation) = 16383 else   '0';
63--latch servant qui memorise le signal grant pendant a transmission
64grant_latch_process : process(clk)
65 begin
66  if rising_edge(clk) then
67   if reset = '1' then
68                grant_latch <= (others => '0');
69         elsif priority_rotation_en = '1' or unsigned(Grant_latch)=0 then
70           grant_latch <= Grant;
71   end if;
72   end if;
73 end process;
74 port_grant <= grant_latch;
75 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(15)(1); --  Grant(1,1)
76Grant(2)  <= Signal_grant(2)(2) or Signal_grant(16)(2); --  Grant(1,2)
77Grant(3)  <= Signal_grant(3)(3) or Signal_grant(17)(3); --  Grant(1,3)
78Grant(4)  <= Signal_grant(4)(4) or Signal_grant(18)(4); --  Grant(1,4)
79Grant(5)  <= Signal_grant(5)(5) or Signal_grant(19)(5); --  Grant(1,5)
80Grant(6)  <= Signal_grant(6)(6) or Signal_grant(20)(6); --  Grant(1,6)
81Grant(7)  <= Signal_grant(7)(7) or Signal_grant(21)(7); --  Grant(1,7)
82Grant(8)  <= Signal_grant(8)(8) or Signal_grant(22)(8); --  Grant(1,8)
83Grant(9)  <= Signal_grant(9)(9) or Signal_grant(23)(9); --  Grant(1,9)
84Grant(10)  <= Signal_grant(10)(10) or Signal_grant(24)(10); --  Grant(1,10)
85Grant(11)  <= Signal_grant(11)(11) or Signal_grant(25)(11); --  Grant(1,11)
86Grant(12)  <= Signal_grant(12)(12) or Signal_grant(26)(12); --  Grant(1,12)
87Grant(13)  <= Signal_grant(13)(13) or Signal_grant(27)(13); --  Grant(1,13)
88Grant(14)  <= Signal_grant(14)(14) ;                      --  Grant(1,14)
89Grant(15)  <= Signal_grant(2)(1) or Signal_grant(16)(1); --  Grant(2,1)
90Grant(16)  <= Signal_grant(3)(2) or Signal_grant(17)(2); --  Grant(2,2)
91Grant(17)  <= Signal_grant(4)(3) or Signal_grant(18)(3); --  Grant(2,3)
92Grant(18)  <= Signal_grant(5)(4) or Signal_grant(19)(4); --  Grant(2,4)
93Grant(19)  <= Signal_grant(6)(5) or Signal_grant(20)(5); --  Grant(2,5)
94Grant(20)  <= Signal_grant(7)(6) or Signal_grant(21)(6); --  Grant(2,6)
95Grant(21)  <= Signal_grant(8)(7) or Signal_grant(22)(7); --  Grant(2,7)
96Grant(22)  <= Signal_grant(9)(8) or Signal_grant(23)(8); --  Grant(2,8)
97Grant(23)  <= Signal_grant(10)(9) or Signal_grant(24)(9); --  Grant(2,9)
98Grant(24)  <= Signal_grant(11)(10) or Signal_grant(25)(10); --  Grant(2,10)
99Grant(25)  <= Signal_grant(12)(11) or Signal_grant(26)(11); --  Grant(2,11)
100Grant(26)  <= Signal_grant(13)(12) or Signal_grant(27)(12); --  Grant(2,12)
101Grant(27)  <= Signal_grant(14)(13) ;                      --  Grant(2,13)
102Grant(28)  <= Signal_grant(1)(14) or Signal_grant(15)(14); --  Grant(2,14)
103Grant(29)  <= Signal_grant(3)(1) or Signal_grant(17)(1); --  Grant(3,1)
104Grant(30)  <= Signal_grant(4)(2) or Signal_grant(18)(2); --  Grant(3,2)
105Grant(31)  <= Signal_grant(5)(3) or Signal_grant(19)(3); --  Grant(3,3)
106Grant(32)  <= Signal_grant(6)(4) or Signal_grant(20)(4); --  Grant(3,4)
107Grant(33)  <= Signal_grant(7)(5) or Signal_grant(21)(5); --  Grant(3,5)
108Grant(34)  <= Signal_grant(8)(6) or Signal_grant(22)(6); --  Grant(3,6)
109Grant(35)  <= Signal_grant(9)(7) or Signal_grant(23)(7); --  Grant(3,7)
110Grant(36)  <= Signal_grant(10)(8) or Signal_grant(24)(8); --  Grant(3,8)
111Grant(37)  <= Signal_grant(11)(9) or Signal_grant(25)(9); --  Grant(3,9)
112Grant(38)  <= Signal_grant(12)(10) or Signal_grant(26)(10); --  Grant(3,10)
113Grant(39)  <= Signal_grant(13)(11) or Signal_grant(27)(11); --  Grant(3,11)
114Grant(40)  <= Signal_grant(14)(12) ;                      --  Grant(3,12)
115Grant(41)  <= Signal_grant(1)(13) or Signal_grant(15)(13); --  Grant(3,13)
116Grant(42)  <= Signal_grant(2)(14) or Signal_grant(16)(14); --  Grant(3,14)
117Grant(43)  <= Signal_grant(4)(1) or Signal_grant(18)(1); --  Grant(4,1)
118Grant(44)  <= Signal_grant(5)(2) or Signal_grant(19)(2); --  Grant(4,2)
119Grant(45)  <= Signal_grant(6)(3) or Signal_grant(20)(3); --  Grant(4,3)
120Grant(46)  <= Signal_grant(7)(4) or Signal_grant(21)(4); --  Grant(4,4)
121Grant(47)  <= Signal_grant(8)(5) or Signal_grant(22)(5); --  Grant(4,5)
122Grant(48)  <= Signal_grant(9)(6) or Signal_grant(23)(6); --  Grant(4,6)
123Grant(49)  <= Signal_grant(10)(7) or Signal_grant(24)(7); --  Grant(4,7)
124Grant(50)  <= Signal_grant(11)(8) or Signal_grant(25)(8); --  Grant(4,8)
125Grant(51)  <= Signal_grant(12)(9) or Signal_grant(26)(9); --  Grant(4,9)
126Grant(52)  <= Signal_grant(13)(10) or Signal_grant(27)(10); --  Grant(4,10)
127Grant(53)  <= Signal_grant(14)(11) ;                      --  Grant(4,11)
128Grant(54)  <= Signal_grant(1)(12) or Signal_grant(15)(12); --  Grant(4,12)
129Grant(55)  <= Signal_grant(2)(13) or Signal_grant(16)(13); --  Grant(4,13)
130Grant(56)  <= Signal_grant(3)(14) or Signal_grant(17)(14); --  Grant(4,14)
131Grant(57)  <= Signal_grant(5)(1) or Signal_grant(19)(1); --  Grant(5,1)
132Grant(58)  <= Signal_grant(6)(2) or Signal_grant(20)(2); --  Grant(5,2)
133Grant(59)  <= Signal_grant(7)(3) or Signal_grant(21)(3); --  Grant(5,3)
134Grant(60)  <= Signal_grant(8)(4) or Signal_grant(22)(4); --  Grant(5,4)
135Grant(61)  <= Signal_grant(9)(5) or Signal_grant(23)(5); --  Grant(5,5)
136Grant(62)  <= Signal_grant(10)(6) or Signal_grant(24)(6); --  Grant(5,6)
137Grant(63)  <= Signal_grant(11)(7) or Signal_grant(25)(7); --  Grant(5,7)
138Grant(64)  <= Signal_grant(12)(8) or Signal_grant(26)(8); --  Grant(5,8)
139Grant(65)  <= Signal_grant(13)(9) or Signal_grant(27)(9); --  Grant(5,9)
140Grant(66)  <= Signal_grant(14)(10) ;                      --  Grant(5,10)
141Grant(67)  <= Signal_grant(1)(11) or Signal_grant(15)(11); --  Grant(5,11)
142Grant(68)  <= Signal_grant(2)(12) or Signal_grant(16)(12); --  Grant(5,12)
143Grant(69)  <= Signal_grant(3)(13) or Signal_grant(17)(13); --  Grant(5,13)
144Grant(70)  <= Signal_grant(4)(14) or Signal_grant(18)(14); --  Grant(5,14)
145Grant(71)  <= Signal_grant(6)(1) or Signal_grant(20)(1); --  Grant(6,1)
146Grant(72)  <= Signal_grant(7)(2) or Signal_grant(21)(2); --  Grant(6,2)
147Grant(73)  <= Signal_grant(8)(3) or Signal_grant(22)(3); --  Grant(6,3)
148Grant(74)  <= Signal_grant(9)(4) or Signal_grant(23)(4); --  Grant(6,4)
149Grant(75)  <= Signal_grant(10)(5) or Signal_grant(24)(5); --  Grant(6,5)
150Grant(76)  <= Signal_grant(11)(6) or Signal_grant(25)(6); --  Grant(6,6)
151Grant(77)  <= Signal_grant(12)(7) or Signal_grant(26)(7); --  Grant(6,7)
152Grant(78)  <= Signal_grant(13)(8) or Signal_grant(27)(8); --  Grant(6,8)
153Grant(79)  <= Signal_grant(14)(9) ;                      --  Grant(6,9)
154Grant(80)  <= Signal_grant(1)(10) or Signal_grant(15)(10); --  Grant(6,10)
155Grant(81)  <= Signal_grant(2)(11) or Signal_grant(16)(11); --  Grant(6,11)
156Grant(82)  <= Signal_grant(3)(12) or Signal_grant(17)(12); --  Grant(6,12)
157Grant(83)  <= Signal_grant(4)(13) or Signal_grant(18)(13); --  Grant(6,13)
158Grant(84)  <= Signal_grant(5)(14) or Signal_grant(19)(14); --  Grant(6,14)
159Grant(85)  <= Signal_grant(7)(1) or Signal_grant(21)(1); --  Grant(7,1)
160Grant(86)  <= Signal_grant(8)(2) or Signal_grant(22)(2); --  Grant(7,2)
161Grant(87)  <= Signal_grant(9)(3) or Signal_grant(23)(3); --  Grant(7,3)
162Grant(88)  <= Signal_grant(10)(4) or Signal_grant(24)(4); --  Grant(7,4)
163Grant(89)  <= Signal_grant(11)(5) or Signal_grant(25)(5); --  Grant(7,5)
164Grant(90)  <= Signal_grant(12)(6) or Signal_grant(26)(6); --  Grant(7,6)
165Grant(91)  <= Signal_grant(13)(7) or Signal_grant(27)(7); --  Grant(7,7)
166Grant(92)  <= Signal_grant(14)(8) ;                      --  Grant(7,8)
167Grant(93)  <= Signal_grant(1)(9) or Signal_grant(15)(9); --  Grant(7,9)
168Grant(94)  <= Signal_grant(2)(10) or Signal_grant(16)(10); --  Grant(7,10)
169Grant(95)  <= Signal_grant(3)(11) or Signal_grant(17)(11); --  Grant(7,11)
170Grant(96)  <= Signal_grant(4)(12) or Signal_grant(18)(12); --  Grant(7,12)
171Grant(97)  <= Signal_grant(5)(13) or Signal_grant(19)(13); --  Grant(7,13)
172Grant(98)  <= Signal_grant(6)(14) or Signal_grant(20)(14); --  Grant(7,14)
173Grant(99)  <= Signal_grant(8)(1) or Signal_grant(22)(1); --  Grant(8,1)
174Grant(100)  <= Signal_grant(9)(2) or Signal_grant(23)(2); --  Grant(8,2)
175Grant(101)  <= Signal_grant(10)(3) or Signal_grant(24)(3); --  Grant(8,3)
176Grant(102)  <= Signal_grant(11)(4) or Signal_grant(25)(4); --  Grant(8,4)
177Grant(103)  <= Signal_grant(12)(5) or Signal_grant(26)(5); --  Grant(8,5)
178Grant(104)  <= Signal_grant(13)(6) or Signal_grant(27)(6); --  Grant(8,6)
179Grant(105)  <= Signal_grant(14)(7) ;                      --  Grant(8,7)
180Grant(106)  <= Signal_grant(1)(8) or Signal_grant(15)(8); --  Grant(8,8)
181Grant(107)  <= Signal_grant(2)(9) or Signal_grant(16)(9); --  Grant(8,9)
182Grant(108)  <= Signal_grant(3)(10) or Signal_grant(17)(10); --  Grant(8,10)
183Grant(109)  <= Signal_grant(4)(11) or Signal_grant(18)(11); --  Grant(8,11)
184Grant(110)  <= Signal_grant(5)(12) or Signal_grant(19)(12); --  Grant(8,12)
185Grant(111)  <= Signal_grant(6)(13) or Signal_grant(20)(13); --  Grant(8,13)
186Grant(112)  <= Signal_grant(7)(14) or Signal_grant(21)(14); --  Grant(8,14)
187Grant(113)  <= Signal_grant(9)(1) or Signal_grant(23)(1); --  Grant(9,1)
188Grant(114)  <= Signal_grant(10)(2) or Signal_grant(24)(2); --  Grant(9,2)
189Grant(115)  <= Signal_grant(11)(3) or Signal_grant(25)(3); --  Grant(9,3)
190Grant(116)  <= Signal_grant(12)(4) or Signal_grant(26)(4); --  Grant(9,4)
191Grant(117)  <= Signal_grant(13)(5) or Signal_grant(27)(5); --  Grant(9,5)
192Grant(118)  <= Signal_grant(14)(6) ;                      --  Grant(9,6)
193Grant(119)  <= Signal_grant(1)(7) or Signal_grant(15)(7); --  Grant(9,7)
194Grant(120)  <= Signal_grant(2)(8) or Signal_grant(16)(8); --  Grant(9,8)
195Grant(121)  <= Signal_grant(3)(9) or Signal_grant(17)(9); --  Grant(9,9)
196Grant(122)  <= Signal_grant(4)(10) or Signal_grant(18)(10); --  Grant(9,10)
197Grant(123)  <= Signal_grant(5)(11) or Signal_grant(19)(11); --  Grant(9,11)
198Grant(124)  <= Signal_grant(6)(12) or Signal_grant(20)(12); --  Grant(9,12)
199Grant(125)  <= Signal_grant(7)(13) or Signal_grant(21)(13); --  Grant(9,13)
200Grant(126)  <= Signal_grant(8)(14) or Signal_grant(22)(14); --  Grant(9,14)
201Grant(127)  <= Signal_grant(10)(1) or Signal_grant(24)(1); --  Grant(10,1)
202Grant(128)  <= Signal_grant(11)(2) or Signal_grant(25)(2); --  Grant(10,2)
203Grant(129)  <= Signal_grant(12)(3) or Signal_grant(26)(3); --  Grant(10,3)
204Grant(130)  <= Signal_grant(13)(4) or Signal_grant(27)(4); --  Grant(10,4)
205Grant(131)  <= Signal_grant(14)(5) ;                      --  Grant(10,5)
206Grant(132)  <= Signal_grant(1)(6) or Signal_grant(15)(6); --  Grant(10,6)
207Grant(133)  <= Signal_grant(2)(7) or Signal_grant(16)(7); --  Grant(10,7)
208Grant(134)  <= Signal_grant(3)(8) or Signal_grant(17)(8); --  Grant(10,8)
209Grant(135)  <= Signal_grant(4)(9) or Signal_grant(18)(9); --  Grant(10,9)
210Grant(136)  <= Signal_grant(5)(10) or Signal_grant(19)(10); --  Grant(10,10)
211Grant(137)  <= Signal_grant(6)(11) or Signal_grant(20)(11); --  Grant(10,11)
212Grant(138)  <= Signal_grant(7)(12) or Signal_grant(21)(12); --  Grant(10,12)
213Grant(139)  <= Signal_grant(8)(13) or Signal_grant(22)(13); --  Grant(10,13)
214Grant(140)  <= Signal_grant(9)(14) or Signal_grant(23)(14); --  Grant(10,14)
215Grant(141)  <= Signal_grant(11)(1) or Signal_grant(25)(1); --  Grant(11,1)
216Grant(142)  <= Signal_grant(12)(2) or Signal_grant(26)(2); --  Grant(11,2)
217Grant(143)  <= Signal_grant(13)(3) or Signal_grant(27)(3); --  Grant(11,3)
218Grant(144)  <= Signal_grant(14)(4) ;                      --  Grant(11,4)
219Grant(145)  <= Signal_grant(1)(5) or Signal_grant(15)(5); --  Grant(11,5)
220Grant(146)  <= Signal_grant(2)(6) or Signal_grant(16)(6); --  Grant(11,6)
221Grant(147)  <= Signal_grant(3)(7) or Signal_grant(17)(7); --  Grant(11,7)
222Grant(148)  <= Signal_grant(4)(8) or Signal_grant(18)(8); --  Grant(11,8)
223Grant(149)  <= Signal_grant(5)(9) or Signal_grant(19)(9); --  Grant(11,9)
224Grant(150)  <= Signal_grant(6)(10) or Signal_grant(20)(10); --  Grant(11,10)
225Grant(151)  <= Signal_grant(7)(11) or Signal_grant(21)(11); --  Grant(11,11)
226Grant(152)  <= Signal_grant(8)(12) or Signal_grant(22)(12); --  Grant(11,12)
227Grant(153)  <= Signal_grant(9)(13) or Signal_grant(23)(13); --  Grant(11,13)
228Grant(154)  <= Signal_grant(10)(14) or Signal_grant(24)(14); --  Grant(11,14)
229Grant(155)  <= Signal_grant(12)(1) or Signal_grant(26)(1); --  Grant(12,1)
230Grant(156)  <= Signal_grant(13)(2) or Signal_grant(27)(2); --  Grant(12,2)
231Grant(157)  <= Signal_grant(14)(3) ;                      --  Grant(12,3)
232Grant(158)  <= Signal_grant(1)(4) or Signal_grant(15)(4); --  Grant(12,4)
233Grant(159)  <= Signal_grant(2)(5) or Signal_grant(16)(5); --  Grant(12,5)
234Grant(160)  <= Signal_grant(3)(6) or Signal_grant(17)(6); --  Grant(12,6)
235Grant(161)  <= Signal_grant(4)(7) or Signal_grant(18)(7); --  Grant(12,7)
236Grant(162)  <= Signal_grant(5)(8) or Signal_grant(19)(8); --  Grant(12,8)
237Grant(163)  <= Signal_grant(6)(9) or Signal_grant(20)(9); --  Grant(12,9)
238Grant(164)  <= Signal_grant(7)(10) or Signal_grant(21)(10); --  Grant(12,10)
239Grant(165)  <= Signal_grant(8)(11) or Signal_grant(22)(11); --  Grant(12,11)
240Grant(166)  <= Signal_grant(9)(12) or Signal_grant(23)(12); --  Grant(12,12)
241Grant(167)  <= Signal_grant(10)(13) or Signal_grant(24)(13); --  Grant(12,13)
242Grant(168)  <= Signal_grant(11)(14) or Signal_grant(25)(14); --  Grant(12,14)
243Grant(169)  <= Signal_grant(13)(1) or Signal_grant(27)(1); --  Grant(13,1)
244Grant(170)  <= Signal_grant(14)(2) ;                      --  Grant(13,2)
245Grant(171)  <= Signal_grant(1)(3) or Signal_grant(15)(3); --  Grant(13,3)
246Grant(172)  <= Signal_grant(2)(4) or Signal_grant(16)(4); --  Grant(13,4)
247Grant(173)  <= Signal_grant(3)(5) or Signal_grant(17)(5); --  Grant(13,5)
248Grant(174)  <= Signal_grant(4)(6) or Signal_grant(18)(6); --  Grant(13,6)
249Grant(175)  <= Signal_grant(5)(7) or Signal_grant(19)(7); --  Grant(13,7)
250Grant(176)  <= Signal_grant(6)(8) or Signal_grant(20)(8); --  Grant(13,8)
251Grant(177)  <= Signal_grant(7)(9) or Signal_grant(21)(9); --  Grant(13,9)
252Grant(178)  <= Signal_grant(8)(10) or Signal_grant(22)(10); --  Grant(13,10)
253Grant(179)  <= Signal_grant(9)(11) or Signal_grant(23)(11); --  Grant(13,11)
254Grant(180)  <= Signal_grant(10)(12) or Signal_grant(24)(12); --  Grant(13,12)
255Grant(181)  <= Signal_grant(11)(13) or Signal_grant(25)(13); --  Grant(13,13)
256Grant(182)  <= Signal_grant(12)(14) or Signal_grant(26)(14); --  Grant(13,14)
257Grant(183)  <= Signal_grant(14)(1) ;                      --  Grant(14,1)
258Grant(184)  <= Signal_grant(1)(2) or Signal_grant(15)(2); --  Grant(14,2)
259Grant(185)  <= Signal_grant(2)(3) or Signal_grant(16)(3); --  Grant(14,3)
260Grant(186)  <= Signal_grant(3)(4) or Signal_grant(17)(4); --  Grant(14,4)
261Grant(187)  <= Signal_grant(4)(5) or Signal_grant(18)(5); --  Grant(14,5)
262Grant(188)  <= Signal_grant(5)(6) or Signal_grant(19)(6); --  Grant(14,6)
263Grant(189)  <= Signal_grant(6)(7) or Signal_grant(20)(7); --  Grant(14,7)
264Grant(190)  <= Signal_grant(7)(8) or Signal_grant(21)(8); --  Grant(14,8)
265Grant(191)  <= Signal_grant(8)(9) or Signal_grant(22)(9); --  Grant(14,9)
266Grant(192)  <= Signal_grant(9)(10) or Signal_grant(23)(10); --  Grant(14,10)
267Grant(193)  <= Signal_grant(10)(11) or Signal_grant(24)(11); --  Grant(14,11)
268Grant(194)  <= Signal_grant(11)(12) or Signal_grant(25)(12); --  Grant(14,12)
269Grant(195)  <= Signal_grant(12)(13) or Signal_grant(26)(13); --  Grant(14,13)
270Grant(196)  <= Signal_grant(13)(14) or Signal_grant(27)(14); --  Grant(14,14)
271High <= '1';
272
273----instantiations des cellules arbitres et interconnection
274
275-------------------------- Diagonale n° 1
276
277
278Arbiter_1_1 : Arbiter
279
280PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(1), 
281South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
282
283Arbiter_1_2 : Arbiter
284
285PORT MAP (Request => Request(184), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(2), 
286South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
287
288Arbiter_1_3 : Arbiter
289
290PORT MAP (Request => Request(171), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(3), 
291South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
292
293Arbiter_1_4 : Arbiter
294
295PORT MAP (Request => Request(158), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(4), 
296South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
297
298Arbiter_1_5 : Arbiter
299
300PORT MAP (Request => Request(145), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(5), 
301South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
302
303Arbiter_1_6 : Arbiter
304
305PORT MAP (Request => Request(132), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(6), 
306South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
307
308Arbiter_1_7 : Arbiter
309
310PORT MAP (Request => Request(119), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(7), 
311South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
312
313Arbiter_1_8 : Arbiter
314
315PORT MAP (Request => Request(106), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(8), 
316South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
317
318Arbiter_1_9 : Arbiter
319
320PORT MAP (Request => Request(93), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(9), 
321South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
322
323Arbiter_1_10 : Arbiter
324
325PORT MAP (Request => Request(80), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(10), 
326South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
327
328Arbiter_1_11 : Arbiter
329
330PORT MAP (Request => Request(67), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(11), 
331South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
332
333Arbiter_1_12 : Arbiter
334
335PORT MAP (Request => Request(54), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(12), 
336South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
337
338Arbiter_1_13 : Arbiter
339
340PORT MAP (Request => Request(41), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(13), 
341South => south_2_north(1)(13), East => east_2_west(1)(13) , Grant => Signal_grant(1)(13));
342
343Arbiter_1_14 : Arbiter
344
345PORT MAP (Request => Request(28), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(14), 
346South => south_2_north(1)(14), East => east_2_west(1)(14) , Grant => Signal_grant(1)(14));
347
348-------------------------- Diagonale n° 2
349
350
351Arbiter_2_1 : Arbiter
352
353PORT MAP (Request => Request(15), North => south_2_north(1)(1), West => east_2_west(1)(14), P => Signal_priority(26), Fifo_full => Fifo_full(1), 
354South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
355
356Arbiter_2_2 : Arbiter
357
358PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(26), Fifo_full => Fifo_full(2), 
359South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
360
361Arbiter_2_3 : Arbiter
362
363PORT MAP (Request => Request(185), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(26), Fifo_full => Fifo_full(3), 
364South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
365
366Arbiter_2_4 : Arbiter
367
368PORT MAP (Request => Request(172), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(26), Fifo_full => Fifo_full(4), 
369South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
370
371Arbiter_2_5 : Arbiter
372
373PORT MAP (Request => Request(159), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(26), Fifo_full => Fifo_full(5), 
374South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
375
376Arbiter_2_6 : Arbiter
377
378PORT MAP (Request => Request(146), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(26), Fifo_full => Fifo_full(6), 
379South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
380
381Arbiter_2_7 : Arbiter
382
383PORT MAP (Request => Request(133), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(26), Fifo_full => Fifo_full(7), 
384South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
385
386Arbiter_2_8 : Arbiter
387
388PORT MAP (Request => Request(120), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(26), Fifo_full => Fifo_full(8), 
389South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
390
391Arbiter_2_9 : Arbiter
392
393PORT MAP (Request => Request(107), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(26), Fifo_full => Fifo_full(9), 
394South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
395
396Arbiter_2_10 : Arbiter
397
398PORT MAP (Request => Request(94), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(26), Fifo_full => Fifo_full(10), 
399South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
400
401Arbiter_2_11 : Arbiter
402
403PORT MAP (Request => Request(81), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(26), Fifo_full => Fifo_full(11), 
404South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
405
406Arbiter_2_12 : Arbiter
407
408PORT MAP (Request => Request(68), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(26), Fifo_full => Fifo_full(12), 
409South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
410
411Arbiter_2_13 : Arbiter
412
413PORT MAP (Request => Request(55), North => south_2_north(1)(13), West => east_2_west(1)(12), P => Signal_priority(26), Fifo_full => Fifo_full(13), 
414South => south_2_north(2)(13), East => east_2_west(2)(13) , Grant => Signal_grant(2)(13));
415
416Arbiter_2_14 : Arbiter
417
418PORT MAP (Request => Request(42), North => south_2_north(1)(14), West => east_2_west(1)(13), P => Signal_priority(26), Fifo_full => Fifo_full(14), 
419South => south_2_north(2)(14), East => east_2_west(2)(14) , Grant => Signal_grant(2)(14));
420
421-------------------------- Diagonale n° 3
422
423
424Arbiter_3_1 : Arbiter
425
426PORT MAP (Request => Request(29), North => south_2_north(2)(1), West => east_2_west(2)(14), P => Signal_priority(25), Fifo_full => Fifo_full(1), 
427South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
428
429Arbiter_3_2 : Arbiter
430
431PORT MAP (Request => Request(16), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(25), Fifo_full => Fifo_full(2), 
432South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
433
434Arbiter_3_3 : Arbiter
435
436PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(25), Fifo_full => Fifo_full(3), 
437South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
438
439Arbiter_3_4 : Arbiter
440
441PORT MAP (Request => Request(186), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(25), Fifo_full => Fifo_full(4), 
442South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
443
444Arbiter_3_5 : Arbiter
445
446PORT MAP (Request => Request(173), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(25), Fifo_full => Fifo_full(5), 
447South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
448
449Arbiter_3_6 : Arbiter
450
451PORT MAP (Request => Request(160), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(25), Fifo_full => Fifo_full(6), 
452South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
453
454Arbiter_3_7 : Arbiter
455
456PORT MAP (Request => Request(147), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(25), Fifo_full => Fifo_full(7), 
457South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
458
459Arbiter_3_8 : Arbiter
460
461PORT MAP (Request => Request(134), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(25), Fifo_full => Fifo_full(8), 
462South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
463
464Arbiter_3_9 : Arbiter
465
466PORT MAP (Request => Request(121), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(25), Fifo_full => Fifo_full(9), 
467South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
468
469Arbiter_3_10 : Arbiter
470
471PORT MAP (Request => Request(108), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(25), Fifo_full => Fifo_full(10), 
472South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
473
474Arbiter_3_11 : Arbiter
475
476PORT MAP (Request => Request(95), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(25), Fifo_full => Fifo_full(11), 
477South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
478
479Arbiter_3_12 : Arbiter
480
481PORT MAP (Request => Request(82), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(25), Fifo_full => Fifo_full(12), 
482South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
483
484Arbiter_3_13 : Arbiter
485
486PORT MAP (Request => Request(69), North => south_2_north(2)(13), West => east_2_west(2)(12), P => Signal_priority(25), Fifo_full => Fifo_full(13), 
487South => south_2_north(3)(13), East => east_2_west(3)(13) , Grant => Signal_grant(3)(13));
488
489Arbiter_3_14 : Arbiter
490
491PORT MAP (Request => Request(56), North => south_2_north(2)(14), West => east_2_west(2)(13), P => Signal_priority(25), Fifo_full => Fifo_full(14), 
492South => south_2_north(3)(14), East => east_2_west(3)(14) , Grant => Signal_grant(3)(14));
493
494-------------------------- Diagonale n° 4
495
496
497Arbiter_4_1 : Arbiter
498
499PORT MAP (Request => Request(43), North => south_2_north(3)(1), West => east_2_west(3)(14), P => Signal_priority(24), Fifo_full => Fifo_full(1), 
500South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
501
502Arbiter_4_2 : Arbiter
503
504PORT MAP (Request => Request(30), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(24), Fifo_full => Fifo_full(2), 
505South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
506
507Arbiter_4_3 : Arbiter
508
509PORT MAP (Request => Request(17), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(24), Fifo_full => Fifo_full(3), 
510South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
511
512Arbiter_4_4 : Arbiter
513
514PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(24), Fifo_full => Fifo_full(4), 
515South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
516
517Arbiter_4_5 : Arbiter
518
519PORT MAP (Request => Request(187), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(24), Fifo_full => Fifo_full(5), 
520South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
521
522Arbiter_4_6 : Arbiter
523
524PORT MAP (Request => Request(174), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(24), Fifo_full => Fifo_full(6), 
525South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
526
527Arbiter_4_7 : Arbiter
528
529PORT MAP (Request => Request(161), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(24), Fifo_full => Fifo_full(7), 
530South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
531
532Arbiter_4_8 : Arbiter
533
534PORT MAP (Request => Request(148), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(24), Fifo_full => Fifo_full(8), 
535South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
536
537Arbiter_4_9 : Arbiter
538
539PORT MAP (Request => Request(135), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(24), Fifo_full => Fifo_full(9), 
540South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
541
542Arbiter_4_10 : Arbiter
543
544PORT MAP (Request => Request(122), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(24), Fifo_full => Fifo_full(10), 
545South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
546
547Arbiter_4_11 : Arbiter
548
549PORT MAP (Request => Request(109), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(24), Fifo_full => Fifo_full(11), 
550South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
551
552Arbiter_4_12 : Arbiter
553
554PORT MAP (Request => Request(96), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(24), Fifo_full => Fifo_full(12), 
555South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
556
557Arbiter_4_13 : Arbiter
558
559PORT MAP (Request => Request(83), North => south_2_north(3)(13), West => east_2_west(3)(12), P => Signal_priority(24), Fifo_full => Fifo_full(13), 
560South => south_2_north(4)(13), East => east_2_west(4)(13) , Grant => Signal_grant(4)(13));
561
562Arbiter_4_14 : Arbiter
563
564PORT MAP (Request => Request(70), North => south_2_north(3)(14), West => east_2_west(3)(13), P => Signal_priority(24), Fifo_full => Fifo_full(14), 
565South => south_2_north(4)(14), East => east_2_west(4)(14) , Grant => Signal_grant(4)(14));
566
567-------------------------- Diagonale n° 5
568
569
570Arbiter_5_1 : Arbiter
571
572PORT MAP (Request => Request(57), North => south_2_north(4)(1), West => east_2_west(4)(14), P => Signal_priority(23), Fifo_full => Fifo_full(1), 
573South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
574
575Arbiter_5_2 : Arbiter
576
577PORT MAP (Request => Request(44), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(23), Fifo_full => Fifo_full(2), 
578South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
579
580Arbiter_5_3 : Arbiter
581
582PORT MAP (Request => Request(31), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(23), Fifo_full => Fifo_full(3), 
583South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
584
585Arbiter_5_4 : Arbiter
586
587PORT MAP (Request => Request(18), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(23), Fifo_full => Fifo_full(4), 
588South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
589
590Arbiter_5_5 : Arbiter
591
592PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(23), Fifo_full => Fifo_full(5), 
593South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
594
595Arbiter_5_6 : Arbiter
596
597PORT MAP (Request => Request(188), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(23), Fifo_full => Fifo_full(6), 
598South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
599
600Arbiter_5_7 : Arbiter
601
602PORT MAP (Request => Request(175), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(23), Fifo_full => Fifo_full(7), 
603South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
604
605Arbiter_5_8 : Arbiter
606
607PORT MAP (Request => Request(162), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(23), Fifo_full => Fifo_full(8), 
608South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
609
610Arbiter_5_9 : Arbiter
611
612PORT MAP (Request => Request(149), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(23), Fifo_full => Fifo_full(9), 
613South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
614
615Arbiter_5_10 : Arbiter
616
617PORT MAP (Request => Request(136), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(23), Fifo_full => Fifo_full(10), 
618South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
619
620Arbiter_5_11 : Arbiter
621
622PORT MAP (Request => Request(123), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(23), Fifo_full => Fifo_full(11), 
623South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
624
625Arbiter_5_12 : Arbiter
626
627PORT MAP (Request => Request(110), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(23), Fifo_full => Fifo_full(12), 
628South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
629
630Arbiter_5_13 : Arbiter
631
632PORT MAP (Request => Request(97), North => south_2_north(4)(13), West => east_2_west(4)(12), P => Signal_priority(23), Fifo_full => Fifo_full(13), 
633South => south_2_north(5)(13), East => east_2_west(5)(13) , Grant => Signal_grant(5)(13));
634
635Arbiter_5_14 : Arbiter
636
637PORT MAP (Request => Request(84), North => south_2_north(4)(14), West => east_2_west(4)(13), P => Signal_priority(23), Fifo_full => Fifo_full(14), 
638South => south_2_north(5)(14), East => east_2_west(5)(14) , Grant => Signal_grant(5)(14));
639
640-------------------------- Diagonale n° 6
641
642
643Arbiter_6_1 : Arbiter
644
645PORT MAP (Request => Request(71), North => south_2_north(5)(1), West => east_2_west(5)(14), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
646South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
647
648Arbiter_6_2 : Arbiter
649
650PORT MAP (Request => Request(58), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
651South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
652
653Arbiter_6_3 : Arbiter
654
655PORT MAP (Request => Request(45), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
656South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
657
658Arbiter_6_4 : Arbiter
659
660PORT MAP (Request => Request(32), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
661South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
662
663Arbiter_6_5 : Arbiter
664
665PORT MAP (Request => Request(19), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
666South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
667
668Arbiter_6_6 : Arbiter
669
670PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
671South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
672
673Arbiter_6_7 : Arbiter
674
675PORT MAP (Request => Request(189), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
676South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
677
678Arbiter_6_8 : Arbiter
679
680PORT MAP (Request => Request(176), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
681South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
682
683Arbiter_6_9 : Arbiter
684
685PORT MAP (Request => Request(163), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
686South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
687
688Arbiter_6_10 : Arbiter
689
690PORT MAP (Request => Request(150), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
691South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
692
693Arbiter_6_11 : Arbiter
694
695PORT MAP (Request => Request(137), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
696South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
697
698Arbiter_6_12 : Arbiter
699
700PORT MAP (Request => Request(124), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
701South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
702
703Arbiter_6_13 : Arbiter
704
705PORT MAP (Request => Request(111), North => south_2_north(5)(13), West => east_2_west(5)(12), P => Signal_priority(22), Fifo_full => Fifo_full(13), 
706South => south_2_north(6)(13), East => east_2_west(6)(13) , Grant => Signal_grant(6)(13));
707
708Arbiter_6_14 : Arbiter
709
710PORT MAP (Request => Request(98), North => south_2_north(5)(14), West => east_2_west(5)(13), P => Signal_priority(22), Fifo_full => Fifo_full(14), 
711South => south_2_north(6)(14), East => east_2_west(6)(14) , Grant => Signal_grant(6)(14));
712
713-------------------------- Diagonale n° 7
714
715
716Arbiter_7_1 : Arbiter
717
718PORT MAP (Request => Request(85), North => south_2_north(6)(1), West => east_2_west(6)(14), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
719South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
720
721Arbiter_7_2 : Arbiter
722
723PORT MAP (Request => Request(72), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
724South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
725
726Arbiter_7_3 : Arbiter
727
728PORT MAP (Request => Request(59), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
729South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
730
731Arbiter_7_4 : Arbiter
732
733PORT MAP (Request => Request(46), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
734South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
735
736Arbiter_7_5 : Arbiter
737
738PORT MAP (Request => Request(33), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
739South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
740
741Arbiter_7_6 : Arbiter
742
743PORT MAP (Request => Request(20), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
744South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
745
746Arbiter_7_7 : Arbiter
747
748PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
749South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
750
751Arbiter_7_8 : Arbiter
752
753PORT MAP (Request => Request(190), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
754South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
755
756Arbiter_7_9 : Arbiter
757
758PORT MAP (Request => Request(177), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
759South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
760
761Arbiter_7_10 : Arbiter
762
763PORT MAP (Request => Request(164), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
764South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
765
766Arbiter_7_11 : Arbiter
767
768PORT MAP (Request => Request(151), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
769South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
770
771Arbiter_7_12 : Arbiter
772
773PORT MAP (Request => Request(138), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
774South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
775
776Arbiter_7_13 : Arbiter
777
778PORT MAP (Request => Request(125), North => south_2_north(6)(13), West => east_2_west(6)(12), P => Signal_priority(21), Fifo_full => Fifo_full(13), 
779South => south_2_north(7)(13), East => east_2_west(7)(13) , Grant => Signal_grant(7)(13));
780
781Arbiter_7_14 : Arbiter
782
783PORT MAP (Request => Request(112), North => south_2_north(6)(14), West => east_2_west(6)(13), P => Signal_priority(21), Fifo_full => Fifo_full(14), 
784South => south_2_north(7)(14), East => east_2_west(7)(14) , Grant => Signal_grant(7)(14));
785
786-------------------------- Diagonale n° 8
787
788
789Arbiter_8_1 : Arbiter
790
791PORT MAP (Request => Request(99), North => south_2_north(7)(1), West => east_2_west(7)(14), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
792South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
793
794Arbiter_8_2 : Arbiter
795
796PORT MAP (Request => Request(86), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
797South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
798
799Arbiter_8_3 : Arbiter
800
801PORT MAP (Request => Request(73), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
802South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
803
804Arbiter_8_4 : Arbiter
805
806PORT MAP (Request => Request(60), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
807South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
808
809Arbiter_8_5 : Arbiter
810
811PORT MAP (Request => Request(47), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
812South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
813
814Arbiter_8_6 : Arbiter
815
816PORT MAP (Request => Request(34), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
817South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
818
819Arbiter_8_7 : Arbiter
820
821PORT MAP (Request => Request(21), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
822South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
823
824Arbiter_8_8 : Arbiter
825
826PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
827South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
828
829Arbiter_8_9 : Arbiter
830
831PORT MAP (Request => Request(191), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
832South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
833
834Arbiter_8_10 : Arbiter
835
836PORT MAP (Request => Request(178), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
837South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
838
839Arbiter_8_11 : Arbiter
840
841PORT MAP (Request => Request(165), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
842South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
843
844Arbiter_8_12 : Arbiter
845
846PORT MAP (Request => Request(152), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
847South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
848
849Arbiter_8_13 : Arbiter
850
851PORT MAP (Request => Request(139), North => south_2_north(7)(13), West => east_2_west(7)(12), P => Signal_priority(20), Fifo_full => Fifo_full(13), 
852South => south_2_north(8)(13), East => east_2_west(8)(13) , Grant => Signal_grant(8)(13));
853
854Arbiter_8_14 : Arbiter
855
856PORT MAP (Request => Request(126), North => south_2_north(7)(14), West => east_2_west(7)(13), P => Signal_priority(20), Fifo_full => Fifo_full(14), 
857South => south_2_north(8)(14), East => east_2_west(8)(14) , Grant => Signal_grant(8)(14));
858
859-------------------------- Diagonale n° 9
860
861
862Arbiter_9_1 : Arbiter
863
864PORT MAP (Request => Request(113), North => south_2_north(8)(1), West => east_2_west(8)(14), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
865South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
866
867Arbiter_9_2 : Arbiter
868
869PORT MAP (Request => Request(100), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
870South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
871
872Arbiter_9_3 : Arbiter
873
874PORT MAP (Request => Request(87), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
875South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
876
877Arbiter_9_4 : Arbiter
878
879PORT MAP (Request => Request(74), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
880South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
881
882Arbiter_9_5 : Arbiter
883
884PORT MAP (Request => Request(61), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
885South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
886
887Arbiter_9_6 : Arbiter
888
889PORT MAP (Request => Request(48), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
890South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
891
892Arbiter_9_7 : Arbiter
893
894PORT MAP (Request => Request(35), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
895South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
896
897Arbiter_9_8 : Arbiter
898
899PORT MAP (Request => Request(22), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
900South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
901
902Arbiter_9_9 : Arbiter
903
904PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
905South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
906
907Arbiter_9_10 : Arbiter
908
909PORT MAP (Request => Request(192), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
910South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
911
912Arbiter_9_11 : Arbiter
913
914PORT MAP (Request => Request(179), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
915South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
916
917Arbiter_9_12 : Arbiter
918
919PORT MAP (Request => Request(166), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
920South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
921
922Arbiter_9_13 : Arbiter
923
924PORT MAP (Request => Request(153), North => south_2_north(8)(13), West => east_2_west(8)(12), P => Signal_priority(19), Fifo_full => Fifo_full(13), 
925South => south_2_north(9)(13), East => east_2_west(9)(13) , Grant => Signal_grant(9)(13));
926
927Arbiter_9_14 : Arbiter
928
929PORT MAP (Request => Request(140), North => south_2_north(8)(14), West => east_2_west(8)(13), P => Signal_priority(19), Fifo_full => Fifo_full(14), 
930South => south_2_north(9)(14), East => east_2_west(9)(14) , Grant => Signal_grant(9)(14));
931
932-------------------------- Diagonale n° 10
933
934
935Arbiter_10_1 : Arbiter
936
937PORT MAP (Request => Request(127), North => south_2_north(9)(1), West => east_2_west(9)(14), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
938South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
939
940Arbiter_10_2 : Arbiter
941
942PORT MAP (Request => Request(114), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
943South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
944
945Arbiter_10_3 : Arbiter
946
947PORT MAP (Request => Request(101), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
948South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
949
950Arbiter_10_4 : Arbiter
951
952PORT MAP (Request => Request(88), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
953South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
954
955Arbiter_10_5 : Arbiter
956
957PORT MAP (Request => Request(75), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
958South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
959
960Arbiter_10_6 : Arbiter
961
962PORT MAP (Request => Request(62), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
963South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
964
965Arbiter_10_7 : Arbiter
966
967PORT MAP (Request => Request(49), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
968South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
969
970Arbiter_10_8 : Arbiter
971
972PORT MAP (Request => Request(36), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
973South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
974
975Arbiter_10_9 : Arbiter
976
977PORT MAP (Request => Request(23), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
978South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
979
980Arbiter_10_10 : Arbiter
981
982PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
983South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
984
985Arbiter_10_11 : Arbiter
986
987PORT MAP (Request => Request(193), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
988South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
989
990Arbiter_10_12 : Arbiter
991
992PORT MAP (Request => Request(180), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
993South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
994
995Arbiter_10_13 : Arbiter
996
997PORT MAP (Request => Request(167), North => south_2_north(9)(13), West => east_2_west(9)(12), P => Signal_priority(18), Fifo_full => Fifo_full(13), 
998South => south_2_north(10)(13), East => east_2_west(10)(13) , Grant => Signal_grant(10)(13));
999
1000Arbiter_10_14 : Arbiter
1001
1002PORT MAP (Request => Request(154), North => south_2_north(9)(14), West => east_2_west(9)(13), P => Signal_priority(18), Fifo_full => Fifo_full(14), 
1003South => south_2_north(10)(14), East => east_2_west(10)(14) , Grant => Signal_grant(10)(14));
1004
1005-------------------------- Diagonale n° 11
1006
1007
1008Arbiter_11_1 : Arbiter
1009
1010PORT MAP (Request => Request(141), North => south_2_north(10)(1), West => east_2_west(10)(14), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
1011South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
1012
1013Arbiter_11_2 : Arbiter
1014
1015PORT MAP (Request => Request(128), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
1016South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
1017
1018Arbiter_11_3 : Arbiter
1019
1020PORT MAP (Request => Request(115), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
1021South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
1022
1023Arbiter_11_4 : Arbiter
1024
1025PORT MAP (Request => Request(102), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
1026South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
1027
1028Arbiter_11_5 : Arbiter
1029
1030PORT MAP (Request => Request(89), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
1031South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
1032
1033Arbiter_11_6 : Arbiter
1034
1035PORT MAP (Request => Request(76), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
1036South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
1037
1038Arbiter_11_7 : Arbiter
1039
1040PORT MAP (Request => Request(63), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
1041South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
1042
1043Arbiter_11_8 : Arbiter
1044
1045PORT MAP (Request => Request(50), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
1046South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
1047
1048Arbiter_11_9 : Arbiter
1049
1050PORT MAP (Request => Request(37), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
1051South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
1052
1053Arbiter_11_10 : Arbiter
1054
1055PORT MAP (Request => Request(24), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
1056South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
1057
1058Arbiter_11_11 : Arbiter
1059
1060PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
1061South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
1062
1063Arbiter_11_12 : Arbiter
1064
1065PORT MAP (Request => Request(194), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
1066South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
1067
1068Arbiter_11_13 : Arbiter
1069
1070PORT MAP (Request => Request(181), North => south_2_north(10)(13), West => east_2_west(10)(12), P => Signal_priority(17), Fifo_full => Fifo_full(13), 
1071South => south_2_north(11)(13), East => east_2_west(11)(13) , Grant => Signal_grant(11)(13));
1072
1073Arbiter_11_14 : Arbiter
1074
1075PORT MAP (Request => Request(168), North => south_2_north(10)(14), West => east_2_west(10)(13), P => Signal_priority(17), Fifo_full => Fifo_full(14), 
1076South => south_2_north(11)(14), East => east_2_west(11)(14) , Grant => Signal_grant(11)(14));
1077
1078-------------------------- Diagonale n° 12
1079
1080
1081Arbiter_12_1 : Arbiter
1082
1083PORT MAP (Request => Request(155), North => south_2_north(11)(1), West => east_2_west(11)(14), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
1084South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
1085
1086Arbiter_12_2 : Arbiter
1087
1088PORT MAP (Request => Request(142), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
1089South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
1090
1091Arbiter_12_3 : Arbiter
1092
1093PORT MAP (Request => Request(129), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
1094South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
1095
1096Arbiter_12_4 : Arbiter
1097
1098PORT MAP (Request => Request(116), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
1099South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
1100
1101Arbiter_12_5 : Arbiter
1102
1103PORT MAP (Request => Request(103), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
1104South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
1105
1106Arbiter_12_6 : Arbiter
1107
1108PORT MAP (Request => Request(90), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
1109South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
1110
1111Arbiter_12_7 : Arbiter
1112
1113PORT MAP (Request => Request(77), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
1114South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
1115
1116Arbiter_12_8 : Arbiter
1117
1118PORT MAP (Request => Request(64), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
1119South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
1120
1121Arbiter_12_9 : Arbiter
1122
1123PORT MAP (Request => Request(51), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
1124South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
1125
1126Arbiter_12_10 : Arbiter
1127
1128PORT MAP (Request => Request(38), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
1129South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
1130
1131Arbiter_12_11 : Arbiter
1132
1133PORT MAP (Request => Request(25), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
1134South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
1135
1136Arbiter_12_12 : Arbiter
1137
1138PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
1139South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
1140
1141Arbiter_12_13 : Arbiter
1142
1143PORT MAP (Request => Request(195), North => south_2_north(11)(13), West => east_2_west(11)(12), P => Signal_priority(16), Fifo_full => Fifo_full(13), 
1144South => south_2_north(12)(13), East => east_2_west(12)(13) , Grant => Signal_grant(12)(13));
1145
1146Arbiter_12_14 : Arbiter
1147
1148PORT MAP (Request => Request(182), North => south_2_north(11)(14), West => east_2_west(11)(13), P => Signal_priority(16), Fifo_full => Fifo_full(14), 
1149South => south_2_north(12)(14), East => east_2_west(12)(14) , Grant => Signal_grant(12)(14));
1150
1151-------------------------- Diagonale n° 13
1152
1153
1154Arbiter_13_1 : Arbiter
1155
1156PORT MAP (Request => Request(169), North => south_2_north(12)(1), West => east_2_west(12)(14), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
1157South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
1158
1159Arbiter_13_2 : Arbiter
1160
1161PORT MAP (Request => Request(156), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
1162South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
1163
1164Arbiter_13_3 : Arbiter
1165
1166PORT MAP (Request => Request(143), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
1167South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
1168
1169Arbiter_13_4 : Arbiter
1170
1171PORT MAP (Request => Request(130), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
1172South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1173
1174Arbiter_13_5 : Arbiter
1175
1176PORT MAP (Request => Request(117), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
1177South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1178
1179Arbiter_13_6 : Arbiter
1180
1181PORT MAP (Request => Request(104), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
1182South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1183
1184Arbiter_13_7 : Arbiter
1185
1186PORT MAP (Request => Request(91), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
1187South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1188
1189Arbiter_13_8 : Arbiter
1190
1191PORT MAP (Request => Request(78), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
1192South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1193
1194Arbiter_13_9 : Arbiter
1195
1196PORT MAP (Request => Request(65), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
1197South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1198
1199Arbiter_13_10 : Arbiter
1200
1201PORT MAP (Request => Request(52), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
1202South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1203
1204Arbiter_13_11 : Arbiter
1205
1206PORT MAP (Request => Request(39), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
1207South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1208
1209Arbiter_13_12 : Arbiter
1210
1211PORT MAP (Request => Request(26), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
1212South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1213
1214Arbiter_13_13 : Arbiter
1215
1216PORT MAP (Request => Request(13), North => south_2_north(12)(13), West => east_2_west(12)(12), P => Signal_priority(15), Fifo_full => Fifo_full(13), 
1217South => south_2_north(13)(13), East => east_2_west(13)(13) , Grant => Signal_grant(13)(13));
1218
1219Arbiter_13_14 : Arbiter
1220
1221PORT MAP (Request => Request(196), North => south_2_north(12)(14), West => east_2_west(12)(13), P => Signal_priority(15), Fifo_full => Fifo_full(14), 
1222South => south_2_north(13)(14), East => east_2_west(13)(14) , Grant => Signal_grant(13)(14));
1223
1224-------------------------- Diagonale n° 14
1225
1226
1227Arbiter_14_1 : Arbiter
1228
1229PORT MAP (Request => Request(183), North => south_2_north(13)(1), West => east_2_west(13)(14), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
1230South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1231
1232Arbiter_14_2 : Arbiter
1233
1234PORT MAP (Request => Request(170), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
1235South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1236
1237Arbiter_14_3 : Arbiter
1238
1239PORT MAP (Request => Request(157), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
1240South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1241
1242Arbiter_14_4 : Arbiter
1243
1244PORT MAP (Request => Request(144), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
1245South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1246
1247Arbiter_14_5 : Arbiter
1248
1249PORT MAP (Request => Request(131), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
1250South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1251
1252Arbiter_14_6 : Arbiter
1253
1254PORT MAP (Request => Request(118), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
1255South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1256
1257Arbiter_14_7 : Arbiter
1258
1259PORT MAP (Request => Request(105), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
1260South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1261
1262Arbiter_14_8 : Arbiter
1263
1264PORT MAP (Request => Request(92), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
1265South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1266
1267Arbiter_14_9 : Arbiter
1268
1269PORT MAP (Request => Request(79), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
1270South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1271
1272Arbiter_14_10 : Arbiter
1273
1274PORT MAP (Request => Request(66), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
1275South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1276
1277Arbiter_14_11 : Arbiter
1278
1279PORT MAP (Request => Request(53), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
1280South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1281
1282Arbiter_14_12 : Arbiter
1283
1284PORT MAP (Request => Request(40), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
1285South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1286
1287Arbiter_14_13 : Arbiter
1288
1289PORT MAP (Request => Request(27), North => south_2_north(13)(13), West => east_2_west(13)(12), P => Signal_priority(14), Fifo_full => Fifo_full(13), 
1290South => south_2_north(14)(13), East => east_2_west(14)(13) , Grant => Signal_grant(14)(13));
1291
1292Arbiter_14_14 : Arbiter
1293
1294PORT MAP (Request => Request(14), North => south_2_north(13)(14), West => east_2_west(13)(13), P => Signal_priority(14), Fifo_full => Fifo_full(14), 
1295South => south_2_north(14)(14), East => east_2_west(14)(14) , Grant => Signal_grant(14)(14));
1296
1297-------------------------- Diagonale n° 15
1298
1299
1300Arbiter_15_1 : Arbiter
1301
1302PORT MAP (Request => Request(1), North => south_2_north(14)(1), West => east_2_west(14)(14), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
1303South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1304
1305Arbiter_15_2 : Arbiter
1306
1307PORT MAP (Request => Request(184), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
1308South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1309
1310Arbiter_15_3 : Arbiter
1311
1312PORT MAP (Request => Request(171), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
1313South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1314
1315Arbiter_15_4 : Arbiter
1316
1317PORT MAP (Request => Request(158), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
1318South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1319
1320Arbiter_15_5 : Arbiter
1321
1322PORT MAP (Request => Request(145), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
1323South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1324
1325Arbiter_15_6 : Arbiter
1326
1327PORT MAP (Request => Request(132), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
1328South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1329
1330Arbiter_15_7 : Arbiter
1331
1332PORT MAP (Request => Request(119), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
1333South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1334
1335Arbiter_15_8 : Arbiter
1336
1337PORT MAP (Request => Request(106), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
1338South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1339
1340Arbiter_15_9 : Arbiter
1341
1342PORT MAP (Request => Request(93), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
1343South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1344
1345Arbiter_15_10 : Arbiter
1346
1347PORT MAP (Request => Request(80), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
1348South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1349
1350Arbiter_15_11 : Arbiter
1351
1352PORT MAP (Request => Request(67), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
1353South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1354
1355Arbiter_15_12 : Arbiter
1356
1357PORT MAP (Request => Request(54), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
1358South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1359
1360Arbiter_15_13 : Arbiter
1361
1362PORT MAP (Request => Request(41), North => south_2_north(14)(13), West => east_2_west(14)(12), P => Signal_priority(13), Fifo_full => Fifo_full(13), 
1363South => south_2_north(15)(13), East => east_2_west(15)(13) , Grant => Signal_grant(15)(13));
1364
1365Arbiter_15_14 : Arbiter
1366
1367PORT MAP (Request => Request(28), North => south_2_north(14)(14), West => east_2_west(14)(13), P => Signal_priority(13), Fifo_full => Fifo_full(14), 
1368South => south_2_north(15)(14), East => east_2_west(15)(14) , Grant => Signal_grant(15)(14));
1369
1370-------------------------- Diagonale n° 16
1371
1372
1373Arbiter_16_1 : Arbiter
1374
1375PORT MAP (Request => Request(15), North => south_2_north(15)(1), West => east_2_west(15)(14), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
1376South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1377
1378Arbiter_16_2 : Arbiter
1379
1380PORT MAP (Request => Request(2), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
1381South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1382
1383Arbiter_16_3 : Arbiter
1384
1385PORT MAP (Request => Request(185), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
1386South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1387
1388Arbiter_16_4 : Arbiter
1389
1390PORT MAP (Request => Request(172), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
1391South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1392
1393Arbiter_16_5 : Arbiter
1394
1395PORT MAP (Request => Request(159), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
1396South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1397
1398Arbiter_16_6 : Arbiter
1399
1400PORT MAP (Request => Request(146), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
1401South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1402
1403Arbiter_16_7 : Arbiter
1404
1405PORT MAP (Request => Request(133), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
1406South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1407
1408Arbiter_16_8 : Arbiter
1409
1410PORT MAP (Request => Request(120), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
1411South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1412
1413Arbiter_16_9 : Arbiter
1414
1415PORT MAP (Request => Request(107), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
1416South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1417
1418Arbiter_16_10 : Arbiter
1419
1420PORT MAP (Request => Request(94), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
1421South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1422
1423Arbiter_16_11 : Arbiter
1424
1425PORT MAP (Request => Request(81), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
1426South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1427
1428Arbiter_16_12 : Arbiter
1429
1430PORT MAP (Request => Request(68), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
1431South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1432
1433Arbiter_16_13 : Arbiter
1434
1435PORT MAP (Request => Request(55), North => south_2_north(15)(13), West => east_2_west(15)(12), P => Signal_priority(12), Fifo_full => Fifo_full(13), 
1436South => south_2_north(16)(13), East => east_2_west(16)(13) , Grant => Signal_grant(16)(13));
1437
1438Arbiter_16_14 : Arbiter
1439
1440PORT MAP (Request => Request(42), North => south_2_north(15)(14), West => east_2_west(15)(13), P => Signal_priority(12), Fifo_full => Fifo_full(14), 
1441South => south_2_north(16)(14), East => east_2_west(16)(14) , Grant => Signal_grant(16)(14));
1442
1443-------------------------- Diagonale n° 17
1444
1445
1446Arbiter_17_1 : Arbiter
1447
1448PORT MAP (Request => Request(29), North => south_2_north(16)(1), West => east_2_west(16)(14), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
1449South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1450
1451Arbiter_17_2 : Arbiter
1452
1453PORT MAP (Request => Request(16), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
1454South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1455
1456Arbiter_17_3 : Arbiter
1457
1458PORT MAP (Request => Request(3), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
1459South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1460
1461Arbiter_17_4 : Arbiter
1462
1463PORT MAP (Request => Request(186), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
1464South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1465
1466Arbiter_17_5 : Arbiter
1467
1468PORT MAP (Request => Request(173), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
1469South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1470
1471Arbiter_17_6 : Arbiter
1472
1473PORT MAP (Request => Request(160), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
1474South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1475
1476Arbiter_17_7 : Arbiter
1477
1478PORT MAP (Request => Request(147), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
1479South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1480
1481Arbiter_17_8 : Arbiter
1482
1483PORT MAP (Request => Request(134), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
1484South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1485
1486Arbiter_17_9 : Arbiter
1487
1488PORT MAP (Request => Request(121), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
1489South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1490
1491Arbiter_17_10 : Arbiter
1492
1493PORT MAP (Request => Request(108), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
1494South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1495
1496Arbiter_17_11 : Arbiter
1497
1498PORT MAP (Request => Request(95), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
1499South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1500
1501Arbiter_17_12 : Arbiter
1502
1503PORT MAP (Request => Request(82), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
1504South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1505
1506Arbiter_17_13 : Arbiter
1507
1508PORT MAP (Request => Request(69), North => south_2_north(16)(13), West => east_2_west(16)(12), P => Signal_priority(11), Fifo_full => Fifo_full(13), 
1509South => south_2_north(17)(13), East => east_2_west(17)(13) , Grant => Signal_grant(17)(13));
1510
1511Arbiter_17_14 : Arbiter
1512
1513PORT MAP (Request => Request(56), North => south_2_north(16)(14), West => east_2_west(16)(13), P => Signal_priority(11), Fifo_full => Fifo_full(14), 
1514South => south_2_north(17)(14), East => east_2_west(17)(14) , Grant => Signal_grant(17)(14));
1515
1516-------------------------- Diagonale n° 18
1517
1518
1519Arbiter_18_1 : Arbiter
1520
1521PORT MAP (Request => Request(43), North => south_2_north(17)(1), West => east_2_west(17)(14), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
1522South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1523
1524Arbiter_18_2 : Arbiter
1525
1526PORT MAP (Request => Request(30), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
1527South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1528
1529Arbiter_18_3 : Arbiter
1530
1531PORT MAP (Request => Request(17), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
1532South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1533
1534Arbiter_18_4 : Arbiter
1535
1536PORT MAP (Request => Request(4), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
1537South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1538
1539Arbiter_18_5 : Arbiter
1540
1541PORT MAP (Request => Request(187), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
1542South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1543
1544Arbiter_18_6 : Arbiter
1545
1546PORT MAP (Request => Request(174), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
1547South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1548
1549Arbiter_18_7 : Arbiter
1550
1551PORT MAP (Request => Request(161), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
1552South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1553
1554Arbiter_18_8 : Arbiter
1555
1556PORT MAP (Request => Request(148), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
1557South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1558
1559Arbiter_18_9 : Arbiter
1560
1561PORT MAP (Request => Request(135), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
1562South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1563
1564Arbiter_18_10 : Arbiter
1565
1566PORT MAP (Request => Request(122), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
1567South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1568
1569Arbiter_18_11 : Arbiter
1570
1571PORT MAP (Request => Request(109), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
1572South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1573
1574Arbiter_18_12 : Arbiter
1575
1576PORT MAP (Request => Request(96), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
1577South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1578
1579Arbiter_18_13 : Arbiter
1580
1581PORT MAP (Request => Request(83), North => south_2_north(17)(13), West => east_2_west(17)(12), P => Signal_priority(10), Fifo_full => Fifo_full(13), 
1582South => south_2_north(18)(13), East => east_2_west(18)(13) , Grant => Signal_grant(18)(13));
1583
1584Arbiter_18_14 : Arbiter
1585
1586PORT MAP (Request => Request(70), North => south_2_north(17)(14), West => east_2_west(17)(13), P => Signal_priority(10), Fifo_full => Fifo_full(14), 
1587South => south_2_north(18)(14), East => east_2_west(18)(14) , Grant => Signal_grant(18)(14));
1588
1589-------------------------- Diagonale n° 19
1590
1591
1592Arbiter_19_1 : Arbiter
1593
1594PORT MAP (Request => Request(57), North => south_2_north(18)(1), West => east_2_west(18)(14), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
1595South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1596
1597Arbiter_19_2 : Arbiter
1598
1599PORT MAP (Request => Request(44), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
1600South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1601
1602Arbiter_19_3 : Arbiter
1603
1604PORT MAP (Request => Request(31), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
1605South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1606
1607Arbiter_19_4 : Arbiter
1608
1609PORT MAP (Request => Request(18), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
1610South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1611
1612Arbiter_19_5 : Arbiter
1613
1614PORT MAP (Request => Request(5), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
1615South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1616
1617Arbiter_19_6 : Arbiter
1618
1619PORT MAP (Request => Request(188), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
1620South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1621
1622Arbiter_19_7 : Arbiter
1623
1624PORT MAP (Request => Request(175), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
1625South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1626
1627Arbiter_19_8 : Arbiter
1628
1629PORT MAP (Request => Request(162), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
1630South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1631
1632Arbiter_19_9 : Arbiter
1633
1634PORT MAP (Request => Request(149), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
1635South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1636
1637Arbiter_19_10 : Arbiter
1638
1639PORT MAP (Request => Request(136), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
1640South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1641
1642Arbiter_19_11 : Arbiter
1643
1644PORT MAP (Request => Request(123), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
1645South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1646
1647Arbiter_19_12 : Arbiter
1648
1649PORT MAP (Request => Request(110), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
1650South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1651
1652Arbiter_19_13 : Arbiter
1653
1654PORT MAP (Request => Request(97), North => south_2_north(18)(13), West => east_2_west(18)(12), P => Signal_priority(9), Fifo_full => Fifo_full(13), 
1655South => south_2_north(19)(13), East => east_2_west(19)(13) , Grant => Signal_grant(19)(13));
1656
1657Arbiter_19_14 : Arbiter
1658
1659PORT MAP (Request => Request(84), North => south_2_north(18)(14), West => east_2_west(18)(13), P => Signal_priority(9), Fifo_full => Fifo_full(14), 
1660South => south_2_north(19)(14), East => east_2_west(19)(14) , Grant => Signal_grant(19)(14));
1661
1662-------------------------- Diagonale n° 20
1663
1664
1665Arbiter_20_1 : Arbiter
1666
1667PORT MAP (Request => Request(71), North => south_2_north(19)(1), West => east_2_west(19)(14), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
1668South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1669
1670Arbiter_20_2 : Arbiter
1671
1672PORT MAP (Request => Request(58), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
1673South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1674
1675Arbiter_20_3 : Arbiter
1676
1677PORT MAP (Request => Request(45), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
1678South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1679
1680Arbiter_20_4 : Arbiter
1681
1682PORT MAP (Request => Request(32), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
1683South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1684
1685Arbiter_20_5 : Arbiter
1686
1687PORT MAP (Request => Request(19), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
1688South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1689
1690Arbiter_20_6 : Arbiter
1691
1692PORT MAP (Request => Request(6), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
1693South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1694
1695Arbiter_20_7 : Arbiter
1696
1697PORT MAP (Request => Request(189), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
1698South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1699
1700Arbiter_20_8 : Arbiter
1701
1702PORT MAP (Request => Request(176), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
1703South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1704
1705Arbiter_20_9 : Arbiter
1706
1707PORT MAP (Request => Request(163), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
1708South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1709
1710Arbiter_20_10 : Arbiter
1711
1712PORT MAP (Request => Request(150), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
1713South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1714
1715Arbiter_20_11 : Arbiter
1716
1717PORT MAP (Request => Request(137), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
1718South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1719
1720Arbiter_20_12 : Arbiter
1721
1722PORT MAP (Request => Request(124), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
1723South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1724
1725Arbiter_20_13 : Arbiter
1726
1727PORT MAP (Request => Request(111), North => south_2_north(19)(13), West => east_2_west(19)(12), P => Signal_priority(8), Fifo_full => Fifo_full(13), 
1728South => south_2_north(20)(13), East => east_2_west(20)(13) , Grant => Signal_grant(20)(13));
1729
1730Arbiter_20_14 : Arbiter
1731
1732PORT MAP (Request => Request(98), North => south_2_north(19)(14), West => east_2_west(19)(13), P => Signal_priority(8), Fifo_full => Fifo_full(14), 
1733South => south_2_north(20)(14), East => east_2_west(20)(14) , Grant => Signal_grant(20)(14));
1734
1735-------------------------- Diagonale n° 21
1736
1737
1738Arbiter_21_1 : Arbiter
1739
1740PORT MAP (Request => Request(85), North => south_2_north(20)(1), West => east_2_west(20)(14), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
1741South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
1742
1743Arbiter_21_2 : Arbiter
1744
1745PORT MAP (Request => Request(72), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
1746South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
1747
1748Arbiter_21_3 : Arbiter
1749
1750PORT MAP (Request => Request(59), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
1751South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
1752
1753Arbiter_21_4 : Arbiter
1754
1755PORT MAP (Request => Request(46), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
1756South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
1757
1758Arbiter_21_5 : Arbiter
1759
1760PORT MAP (Request => Request(33), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
1761South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
1762
1763Arbiter_21_6 : Arbiter
1764
1765PORT MAP (Request => Request(20), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
1766South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
1767
1768Arbiter_21_7 : Arbiter
1769
1770PORT MAP (Request => Request(7), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
1771South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
1772
1773Arbiter_21_8 : Arbiter
1774
1775PORT MAP (Request => Request(190), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
1776South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
1777
1778Arbiter_21_9 : Arbiter
1779
1780PORT MAP (Request => Request(177), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
1781South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
1782
1783Arbiter_21_10 : Arbiter
1784
1785PORT MAP (Request => Request(164), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
1786South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
1787
1788Arbiter_21_11 : Arbiter
1789
1790PORT MAP (Request => Request(151), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
1791South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
1792
1793Arbiter_21_12 : Arbiter
1794
1795PORT MAP (Request => Request(138), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
1796South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
1797
1798Arbiter_21_13 : Arbiter
1799
1800PORT MAP (Request => Request(125), North => south_2_north(20)(13), West => east_2_west(20)(12), P => Signal_priority(7), Fifo_full => Fifo_full(13), 
1801South => south_2_north(21)(13), East => east_2_west(21)(13) , Grant => Signal_grant(21)(13));
1802
1803Arbiter_21_14 : Arbiter
1804
1805PORT MAP (Request => Request(112), North => south_2_north(20)(14), West => east_2_west(20)(13), P => Signal_priority(7), Fifo_full => Fifo_full(14), 
1806South => south_2_north(21)(14), East => east_2_west(21)(14) , Grant => Signal_grant(21)(14));
1807
1808-------------------------- Diagonale n° 22
1809
1810
1811Arbiter_22_1 : Arbiter
1812
1813PORT MAP (Request => Request(99), North => south_2_north(21)(1), West => east_2_west(21)(14), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
1814South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
1815
1816Arbiter_22_2 : Arbiter
1817
1818PORT MAP (Request => Request(86), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
1819South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
1820
1821Arbiter_22_3 : Arbiter
1822
1823PORT MAP (Request => Request(73), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
1824South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
1825
1826Arbiter_22_4 : Arbiter
1827
1828PORT MAP (Request => Request(60), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
1829South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
1830
1831Arbiter_22_5 : Arbiter
1832
1833PORT MAP (Request => Request(47), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
1834South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
1835
1836Arbiter_22_6 : Arbiter
1837
1838PORT MAP (Request => Request(34), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
1839South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
1840
1841Arbiter_22_7 : Arbiter
1842
1843PORT MAP (Request => Request(21), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
1844South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
1845
1846Arbiter_22_8 : Arbiter
1847
1848PORT MAP (Request => Request(8), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
1849South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
1850
1851Arbiter_22_9 : Arbiter
1852
1853PORT MAP (Request => Request(191), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
1854South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
1855
1856Arbiter_22_10 : Arbiter
1857
1858PORT MAP (Request => Request(178), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
1859South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
1860
1861Arbiter_22_11 : Arbiter
1862
1863PORT MAP (Request => Request(165), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
1864South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
1865
1866Arbiter_22_12 : Arbiter
1867
1868PORT MAP (Request => Request(152), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
1869South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
1870
1871Arbiter_22_13 : Arbiter
1872
1873PORT MAP (Request => Request(139), North => south_2_north(21)(13), West => east_2_west(21)(12), P => Signal_priority(6), Fifo_full => Fifo_full(13), 
1874South => south_2_north(22)(13), East => east_2_west(22)(13) , Grant => Signal_grant(22)(13));
1875
1876Arbiter_22_14 : Arbiter
1877
1878PORT MAP (Request => Request(126), North => south_2_north(21)(14), West => east_2_west(21)(13), P => Signal_priority(6), Fifo_full => Fifo_full(14), 
1879South => south_2_north(22)(14), East => east_2_west(22)(14) , Grant => Signal_grant(22)(14));
1880
1881-------------------------- Diagonale n° 23
1882
1883
1884Arbiter_23_1 : Arbiter
1885
1886PORT MAP (Request => Request(113), North => south_2_north(22)(1), West => east_2_west(22)(14), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
1887South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
1888
1889Arbiter_23_2 : Arbiter
1890
1891PORT MAP (Request => Request(100), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
1892South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
1893
1894Arbiter_23_3 : Arbiter
1895
1896PORT MAP (Request => Request(87), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
1897South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
1898
1899Arbiter_23_4 : Arbiter
1900
1901PORT MAP (Request => Request(74), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
1902South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
1903
1904Arbiter_23_5 : Arbiter
1905
1906PORT MAP (Request => Request(61), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
1907South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
1908
1909Arbiter_23_6 : Arbiter
1910
1911PORT MAP (Request => Request(48), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
1912South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
1913
1914Arbiter_23_7 : Arbiter
1915
1916PORT MAP (Request => Request(35), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
1917South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
1918
1919Arbiter_23_8 : Arbiter
1920
1921PORT MAP (Request => Request(22), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
1922South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
1923
1924Arbiter_23_9 : Arbiter
1925
1926PORT MAP (Request => Request(9), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
1927South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
1928
1929Arbiter_23_10 : Arbiter
1930
1931PORT MAP (Request => Request(192), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
1932South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
1933
1934Arbiter_23_11 : Arbiter
1935
1936PORT MAP (Request => Request(179), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
1937South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
1938
1939Arbiter_23_12 : Arbiter
1940
1941PORT MAP (Request => Request(166), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
1942South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
1943
1944Arbiter_23_13 : Arbiter
1945
1946PORT MAP (Request => Request(153), North => south_2_north(22)(13), West => east_2_west(22)(12), P => Signal_priority(5), Fifo_full => Fifo_full(13), 
1947South => south_2_north(23)(13), East => east_2_west(23)(13) , Grant => Signal_grant(23)(13));
1948
1949Arbiter_23_14 : Arbiter
1950
1951PORT MAP (Request => Request(140), North => south_2_north(22)(14), West => east_2_west(22)(13), P => Signal_priority(5), Fifo_full => Fifo_full(14), 
1952South => south_2_north(23)(14), East => east_2_west(23)(14) , Grant => Signal_grant(23)(14));
1953
1954-------------------------- Diagonale n° 24
1955
1956
1957Arbiter_24_1 : Arbiter
1958
1959PORT MAP (Request => Request(127), North => south_2_north(23)(1), West => east_2_west(23)(14), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
1960South => south_2_north(24)(1), East => east_2_west(24)(1) , Grant => Signal_grant(24)(1));
1961
1962Arbiter_24_2 : Arbiter
1963
1964PORT MAP (Request => Request(114), North => south_2_north(23)(2), West => east_2_west(23)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
1965South => south_2_north(24)(2), East => east_2_west(24)(2) , Grant => Signal_grant(24)(2));
1966
1967Arbiter_24_3 : Arbiter
1968
1969PORT MAP (Request => Request(101), North => south_2_north(23)(3), West => east_2_west(23)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
1970South => south_2_north(24)(3), East => east_2_west(24)(3) , Grant => Signal_grant(24)(3));
1971
1972Arbiter_24_4 : Arbiter
1973
1974PORT MAP (Request => Request(88), North => south_2_north(23)(4), West => east_2_west(23)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
1975South => south_2_north(24)(4), East => east_2_west(24)(4) , Grant => Signal_grant(24)(4));
1976
1977Arbiter_24_5 : Arbiter
1978
1979PORT MAP (Request => Request(75), North => south_2_north(23)(5), West => east_2_west(23)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
1980South => south_2_north(24)(5), East => east_2_west(24)(5) , Grant => Signal_grant(24)(5));
1981
1982Arbiter_24_6 : Arbiter
1983
1984PORT MAP (Request => Request(62), North => south_2_north(23)(6), West => east_2_west(23)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
1985South => south_2_north(24)(6), East => east_2_west(24)(6) , Grant => Signal_grant(24)(6));
1986
1987Arbiter_24_7 : Arbiter
1988
1989PORT MAP (Request => Request(49), North => south_2_north(23)(7), West => east_2_west(23)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
1990South => south_2_north(24)(7), East => east_2_west(24)(7) , Grant => Signal_grant(24)(7));
1991
1992Arbiter_24_8 : Arbiter
1993
1994PORT MAP (Request => Request(36), North => south_2_north(23)(8), West => east_2_west(23)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
1995South => south_2_north(24)(8), East => east_2_west(24)(8) , Grant => Signal_grant(24)(8));
1996
1997Arbiter_24_9 : Arbiter
1998
1999PORT MAP (Request => Request(23), North => south_2_north(23)(9), West => east_2_west(23)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
2000South => south_2_north(24)(9), East => east_2_west(24)(9) , Grant => Signal_grant(24)(9));
2001
2002Arbiter_24_10 : Arbiter
2003
2004PORT MAP (Request => Request(10), North => south_2_north(23)(10), West => east_2_west(23)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
2005South => south_2_north(24)(10), East => east_2_west(24)(10) , Grant => Signal_grant(24)(10));
2006
2007Arbiter_24_11 : Arbiter
2008
2009PORT MAP (Request => Request(193), North => south_2_north(23)(11), West => east_2_west(23)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
2010South => south_2_north(24)(11), East => east_2_west(24)(11) , Grant => Signal_grant(24)(11));
2011
2012Arbiter_24_12 : Arbiter
2013
2014PORT MAP (Request => Request(180), North => south_2_north(23)(12), West => east_2_west(23)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
2015South => south_2_north(24)(12), East => east_2_west(24)(12) , Grant => Signal_grant(24)(12));
2016
2017Arbiter_24_13 : Arbiter
2018
2019PORT MAP (Request => Request(167), North => south_2_north(23)(13), West => east_2_west(23)(12), P => Signal_priority(4), Fifo_full => Fifo_full(13), 
2020South => south_2_north(24)(13), East => east_2_west(24)(13) , Grant => Signal_grant(24)(13));
2021
2022Arbiter_24_14 : Arbiter
2023
2024PORT MAP (Request => Request(154), North => south_2_north(23)(14), West => east_2_west(23)(13), P => Signal_priority(4), Fifo_full => Fifo_full(14), 
2025South => south_2_north(24)(14), East => east_2_west(24)(14) , Grant => Signal_grant(24)(14));
2026
2027-------------------------- Diagonale n° 25
2028
2029
2030Arbiter_25_1 : Arbiter
2031
2032PORT MAP (Request => Request(141), North => south_2_north(24)(1), West => east_2_west(24)(14), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
2033South => south_2_north(25)(1), East => east_2_west(25)(1) , Grant => Signal_grant(25)(1));
2034
2035Arbiter_25_2 : Arbiter
2036
2037PORT MAP (Request => Request(128), North => south_2_north(24)(2), West => east_2_west(24)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
2038South => south_2_north(25)(2), East => east_2_west(25)(2) , Grant => Signal_grant(25)(2));
2039
2040Arbiter_25_3 : Arbiter
2041
2042PORT MAP (Request => Request(115), North => south_2_north(24)(3), West => east_2_west(24)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
2043South => south_2_north(25)(3), East => east_2_west(25)(3) , Grant => Signal_grant(25)(3));
2044
2045Arbiter_25_4 : Arbiter
2046
2047PORT MAP (Request => Request(102), North => south_2_north(24)(4), West => east_2_west(24)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
2048South => south_2_north(25)(4), East => east_2_west(25)(4) , Grant => Signal_grant(25)(4));
2049
2050Arbiter_25_5 : Arbiter
2051
2052PORT MAP (Request => Request(89), North => south_2_north(24)(5), West => east_2_west(24)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
2053South => south_2_north(25)(5), East => east_2_west(25)(5) , Grant => Signal_grant(25)(5));
2054
2055Arbiter_25_6 : Arbiter
2056
2057PORT MAP (Request => Request(76), North => south_2_north(24)(6), West => east_2_west(24)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
2058South => south_2_north(25)(6), East => east_2_west(25)(6) , Grant => Signal_grant(25)(6));
2059
2060Arbiter_25_7 : Arbiter
2061
2062PORT MAP (Request => Request(63), North => south_2_north(24)(7), West => east_2_west(24)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
2063South => south_2_north(25)(7), East => east_2_west(25)(7) , Grant => Signal_grant(25)(7));
2064
2065Arbiter_25_8 : Arbiter
2066
2067PORT MAP (Request => Request(50), North => south_2_north(24)(8), West => east_2_west(24)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
2068South => south_2_north(25)(8), East => east_2_west(25)(8) , Grant => Signal_grant(25)(8));
2069
2070Arbiter_25_9 : Arbiter
2071
2072PORT MAP (Request => Request(37), North => south_2_north(24)(9), West => east_2_west(24)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
2073South => south_2_north(25)(9), East => east_2_west(25)(9) , Grant => Signal_grant(25)(9));
2074
2075Arbiter_25_10 : Arbiter
2076
2077PORT MAP (Request => Request(24), North => south_2_north(24)(10), West => east_2_west(24)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
2078South => south_2_north(25)(10), East => east_2_west(25)(10) , Grant => Signal_grant(25)(10));
2079
2080Arbiter_25_11 : Arbiter
2081
2082PORT MAP (Request => Request(11), North => south_2_north(24)(11), West => east_2_west(24)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
2083South => south_2_north(25)(11), East => east_2_west(25)(11) , Grant => Signal_grant(25)(11));
2084
2085Arbiter_25_12 : Arbiter
2086
2087PORT MAP (Request => Request(194), North => south_2_north(24)(12), West => east_2_west(24)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
2088South => south_2_north(25)(12), East => east_2_west(25)(12) , Grant => Signal_grant(25)(12));
2089
2090Arbiter_25_13 : Arbiter
2091
2092PORT MAP (Request => Request(181), North => south_2_north(24)(13), West => east_2_west(24)(12), P => Signal_priority(3), Fifo_full => Fifo_full(13), 
2093South => south_2_north(25)(13), East => east_2_west(25)(13) , Grant => Signal_grant(25)(13));
2094
2095Arbiter_25_14 : Arbiter
2096
2097PORT MAP (Request => Request(168), North => south_2_north(24)(14), West => east_2_west(24)(13), P => Signal_priority(3), Fifo_full => Fifo_full(14), 
2098South => south_2_north(25)(14), East => east_2_west(25)(14) , Grant => Signal_grant(25)(14));
2099
2100-------------------------- Diagonale n° 26
2101
2102
2103Arbiter_26_1 : Arbiter
2104
2105PORT MAP (Request => Request(155), North => south_2_north(25)(1), West => east_2_west(25)(14), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
2106South => south_2_north(26)(1), East => east_2_west(26)(1) , Grant => Signal_grant(26)(1));
2107
2108Arbiter_26_2 : Arbiter
2109
2110PORT MAP (Request => Request(142), North => south_2_north(25)(2), West => east_2_west(25)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
2111South => south_2_north(26)(2), East => east_2_west(26)(2) , Grant => Signal_grant(26)(2));
2112
2113Arbiter_26_3 : Arbiter
2114
2115PORT MAP (Request => Request(129), North => south_2_north(25)(3), West => east_2_west(25)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
2116South => south_2_north(26)(3), East => east_2_west(26)(3) , Grant => Signal_grant(26)(3));
2117
2118Arbiter_26_4 : Arbiter
2119
2120PORT MAP (Request => Request(116), North => south_2_north(25)(4), West => east_2_west(25)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
2121South => south_2_north(26)(4), East => east_2_west(26)(4) , Grant => Signal_grant(26)(4));
2122
2123Arbiter_26_5 : Arbiter
2124
2125PORT MAP (Request => Request(103), North => south_2_north(25)(5), West => east_2_west(25)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
2126South => south_2_north(26)(5), East => east_2_west(26)(5) , Grant => Signal_grant(26)(5));
2127
2128Arbiter_26_6 : Arbiter
2129
2130PORT MAP (Request => Request(90), North => south_2_north(25)(6), West => east_2_west(25)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
2131South => south_2_north(26)(6), East => east_2_west(26)(6) , Grant => Signal_grant(26)(6));
2132
2133Arbiter_26_7 : Arbiter
2134
2135PORT MAP (Request => Request(77), North => south_2_north(25)(7), West => east_2_west(25)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
2136South => south_2_north(26)(7), East => east_2_west(26)(7) , Grant => Signal_grant(26)(7));
2137
2138Arbiter_26_8 : Arbiter
2139
2140PORT MAP (Request => Request(64), North => south_2_north(25)(8), West => east_2_west(25)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
2141South => south_2_north(26)(8), East => east_2_west(26)(8) , Grant => Signal_grant(26)(8));
2142
2143Arbiter_26_9 : Arbiter
2144
2145PORT MAP (Request => Request(51), North => south_2_north(25)(9), West => east_2_west(25)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
2146South => south_2_north(26)(9), East => east_2_west(26)(9) , Grant => Signal_grant(26)(9));
2147
2148Arbiter_26_10 : Arbiter
2149
2150PORT MAP (Request => Request(38), North => south_2_north(25)(10), West => east_2_west(25)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
2151South => south_2_north(26)(10), East => east_2_west(26)(10) , Grant => Signal_grant(26)(10));
2152
2153Arbiter_26_11 : Arbiter
2154
2155PORT MAP (Request => Request(25), North => south_2_north(25)(11), West => east_2_west(25)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
2156South => south_2_north(26)(11), East => east_2_west(26)(11) , Grant => Signal_grant(26)(11));
2157
2158Arbiter_26_12 : Arbiter
2159
2160PORT MAP (Request => Request(12), North => south_2_north(25)(12), West => east_2_west(25)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
2161South => south_2_north(26)(12), East => east_2_west(26)(12) , Grant => Signal_grant(26)(12));
2162
2163Arbiter_26_13 : Arbiter
2164
2165PORT MAP (Request => Request(195), North => south_2_north(25)(13), West => east_2_west(25)(12), P => Signal_priority(2), Fifo_full => Fifo_full(13), 
2166South => south_2_north(26)(13), East => east_2_west(26)(13) , Grant => Signal_grant(26)(13));
2167
2168Arbiter_26_14 : Arbiter
2169
2170PORT MAP (Request => Request(182), North => south_2_north(25)(14), West => east_2_west(25)(13), P => Signal_priority(2), Fifo_full => Fifo_full(14), 
2171South => south_2_north(26)(14), East => east_2_west(26)(14) , Grant => Signal_grant(26)(14));
2172
2173-------------------------- Diagonale n° 27
2174
2175
2176Arbiter_27_1 : Arbiter
2177
2178PORT MAP (Request => Request(169), North => south_2_north(26)(1), West => east_2_west(26)(14), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
2179South => south_2_north(27)(1), East => east_2_west(27)(1) , Grant => Signal_grant(27)(1));
2180
2181Arbiter_27_2 : Arbiter
2182
2183PORT MAP (Request => Request(156), North => south_2_north(26)(2), West => east_2_west(26)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
2184South => south_2_north(27)(2), East => east_2_west(27)(2) , Grant => Signal_grant(27)(2));
2185
2186Arbiter_27_3 : Arbiter
2187
2188PORT MAP (Request => Request(143), North => south_2_north(26)(3), West => east_2_west(26)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
2189South => south_2_north(27)(3), East => east_2_west(27)(3) , Grant => Signal_grant(27)(3));
2190
2191Arbiter_27_4 : Arbiter
2192
2193PORT MAP (Request => Request(130), North => south_2_north(26)(4), West => east_2_west(26)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
2194South => south_2_north(27)(4), East => east_2_west(27)(4) , Grant => Signal_grant(27)(4));
2195
2196Arbiter_27_5 : Arbiter
2197
2198PORT MAP (Request => Request(117), North => south_2_north(26)(5), West => east_2_west(26)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
2199South => south_2_north(27)(5), East => east_2_west(27)(5) , Grant => Signal_grant(27)(5));
2200
2201Arbiter_27_6 : Arbiter
2202
2203PORT MAP (Request => Request(104), North => south_2_north(26)(6), West => east_2_west(26)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
2204South => south_2_north(27)(6), East => east_2_west(27)(6) , Grant => Signal_grant(27)(6));
2205
2206Arbiter_27_7 : Arbiter
2207
2208PORT MAP (Request => Request(91), North => south_2_north(26)(7), West => east_2_west(26)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
2209South => south_2_north(27)(7), East => east_2_west(27)(7) , Grant => Signal_grant(27)(7));
2210
2211Arbiter_27_8 : Arbiter
2212
2213PORT MAP (Request => Request(78), North => south_2_north(26)(8), West => east_2_west(26)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
2214South => south_2_north(27)(8), East => east_2_west(27)(8) , Grant => Signal_grant(27)(8));
2215
2216Arbiter_27_9 : Arbiter
2217
2218PORT MAP (Request => Request(65), North => south_2_north(26)(9), West => east_2_west(26)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
2219South => south_2_north(27)(9), East => east_2_west(27)(9) , Grant => Signal_grant(27)(9));
2220
2221Arbiter_27_10 : Arbiter
2222
2223PORT MAP (Request => Request(52), North => south_2_north(26)(10), West => east_2_west(26)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
2224South => south_2_north(27)(10), East => east_2_west(27)(10) , Grant => Signal_grant(27)(10));
2225
2226Arbiter_27_11 : Arbiter
2227
2228PORT MAP (Request => Request(39), North => south_2_north(26)(11), West => east_2_west(26)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
2229South => south_2_north(27)(11), East => east_2_west(27)(11) , Grant => Signal_grant(27)(11));
2230
2231Arbiter_27_12 : Arbiter
2232
2233PORT MAP (Request => Request(26), North => south_2_north(26)(12), West => east_2_west(26)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
2234South => south_2_north(27)(12), East => east_2_west(27)(12) , Grant => Signal_grant(27)(12));
2235
2236Arbiter_27_13 : Arbiter
2237
2238PORT MAP (Request => Request(13), North => south_2_north(26)(13), West => east_2_west(26)(12), P => Signal_priority(1), Fifo_full => Fifo_full(13), 
2239South => south_2_north(27)(13), East => east_2_west(27)(13) , Grant => Signal_grant(27)(13));
2240
2241Arbiter_27_14 : Arbiter
2242
2243PORT MAP (Request => Request(196), North => south_2_north(26)(14), West => east_2_west(26)(13), P => Signal_priority(1), Fifo_full => Fifo_full(14), 
2244South => south_2_north(27)(14), East => east_2_west(27)(14) , Grant => Signal_grant(27)(14));
2245
2246
2247--processus permettant de roter la priorité des diagonales à chaque front d'horloge
2248 -- rotation round robin
2249         round_robin : process(clk)
2250        begin
2251                if rising_edge(clk) then
2252                 if reset ='1' then
2253                    Signal_priority <= "111111111111110000000000000";
2254                  elsif priority_rotation_en = '1' then
2255                    case Signal_priority is
2256                       when "111111111111110000000000000" => Signal_priority <= "011111111111111000000000000";
2257                       when "011111111111111000000000000" => Signal_priority <= "001111111111111100000000000";
2258                       when "001111111111111100000000000" => Signal_priority <= "000111111111111110000000000";
2259                       when "000111111111111110000000000" => Signal_priority <= "000011111111111111000000000";
2260                       when "000011111111111111000000000" => Signal_priority <= "000001111111111111100000000";
2261                       when "000001111111111111100000000" => Signal_priority <= "000000111111111111110000000";
2262                       when "000000111111111111110000000" => Signal_priority <= "000000011111111111111000000";
2263                       when "000000011111111111111000000" => Signal_priority <= "000000001111111111111100000";
2264                       when "000000001111111111111100000" => Signal_priority <= "000000000111111111111110000";
2265                       when "000000000111111111111110000" => Signal_priority <= "000000000011111111111111000";
2266                       when "000000000011111111111111000" => Signal_priority <= "000000000001111111111111100";
2267                       when "000000000001111111111111100" => Signal_priority <= "000000000000111111111111110";
2268                       when "000000000000111111111111110" => Signal_priority <= "000000000000011111111111111";
2269                       when "000000000000011111111111111" => Signal_priority <= "111111111111110000000000000";
2270                       when others    => Signal_priority <= "111111111111110000000000000";
2271                  end case;
2272                 end if;
2273             end if;
2274         end process;
2275
2276end Behavioral;
2277
Note: See TracBrowser for help on using the repository browser.