source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/NOC/SCHEDULER15_15.VHD @ 101

Last change on this file since 101 was 101, checked in by rolagamo, 10 years ago
File size: 137.7 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler15_15 is
32    Port ( Request : in  STD_LOGIC_VECTOR (225 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (15 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (15 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (225 downto 1));
38end Scheduler15_15;
39
40architecture Behavioral of Scheduler15_15 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(29 downto 1) of STD_LOGIC_VECTOR(15 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (29 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(225 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant ,req_grant:  std_logic_vector(225 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61req_grant<=(request and grant_latch);
62 priority_rotation_en <= '1' when unsigned(req_grant)=0 or unsigned(priority_rotation) = 32767 else     '0';
63--latch servant qui memorise le signal grant pendant a transmission
64grant_latch_process : process(clk)
65 begin
66  if rising_edge(clk) then
67   if reset = '1' then
68                grant_latch <= (others => '0');
69         elsif priority_rotation_en = '1'or unsigned(Grant_latch)=0 then
70           grant_latch <= Grant;
71   end if;
72   end if;
73 end process;
74 port_grant <= grant_latch;
75 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(16)(1); --  Grant(1,1)
76Grant(2)  <= Signal_grant(2)(2) or Signal_grant(17)(2); --  Grant(1,2)
77Grant(3)  <= Signal_grant(3)(3) or Signal_grant(18)(3); --  Grant(1,3)
78Grant(4)  <= Signal_grant(4)(4) or Signal_grant(19)(4); --  Grant(1,4)
79Grant(5)  <= Signal_grant(5)(5) or Signal_grant(20)(5); --  Grant(1,5)
80Grant(6)  <= Signal_grant(6)(6) or Signal_grant(21)(6); --  Grant(1,6)
81Grant(7)  <= Signal_grant(7)(7) or Signal_grant(22)(7); --  Grant(1,7)
82Grant(8)  <= Signal_grant(8)(8) or Signal_grant(23)(8); --  Grant(1,8)
83Grant(9)  <= Signal_grant(9)(9) or Signal_grant(24)(9); --  Grant(1,9)
84Grant(10)  <= Signal_grant(10)(10) or Signal_grant(25)(10); --  Grant(1,10)
85Grant(11)  <= Signal_grant(11)(11) or Signal_grant(26)(11); --  Grant(1,11)
86Grant(12)  <= Signal_grant(12)(12) or Signal_grant(27)(12); --  Grant(1,12)
87Grant(13)  <= Signal_grant(13)(13) or Signal_grant(28)(13); --  Grant(1,13)
88Grant(14)  <= Signal_grant(14)(14) or Signal_grant(29)(14); --  Grant(1,14)
89Grant(15)  <= Signal_grant(15)(15) ;                      --  Grant(1,15)
90Grant(16)  <= Signal_grant(2)(1) or Signal_grant(17)(1); --  Grant(2,1)
91Grant(17)  <= Signal_grant(3)(2) or Signal_grant(18)(2); --  Grant(2,2)
92Grant(18)  <= Signal_grant(4)(3) or Signal_grant(19)(3); --  Grant(2,3)
93Grant(19)  <= Signal_grant(5)(4) or Signal_grant(20)(4); --  Grant(2,4)
94Grant(20)  <= Signal_grant(6)(5) or Signal_grant(21)(5); --  Grant(2,5)
95Grant(21)  <= Signal_grant(7)(6) or Signal_grant(22)(6); --  Grant(2,6)
96Grant(22)  <= Signal_grant(8)(7) or Signal_grant(23)(7); --  Grant(2,7)
97Grant(23)  <= Signal_grant(9)(8) or Signal_grant(24)(8); --  Grant(2,8)
98Grant(24)  <= Signal_grant(10)(9) or Signal_grant(25)(9); --  Grant(2,9)
99Grant(25)  <= Signal_grant(11)(10) or Signal_grant(26)(10); --  Grant(2,10)
100Grant(26)  <= Signal_grant(12)(11) or Signal_grant(27)(11); --  Grant(2,11)
101Grant(27)  <= Signal_grant(13)(12) or Signal_grant(28)(12); --  Grant(2,12)
102Grant(28)  <= Signal_grant(14)(13) or Signal_grant(29)(13); --  Grant(2,13)
103Grant(29)  <= Signal_grant(15)(14) ;                      --  Grant(2,14)
104Grant(30)  <= Signal_grant(1)(15) or Signal_grant(16)(15); --  Grant(2,15)
105Grant(31)  <= Signal_grant(3)(1) or Signal_grant(18)(1); --  Grant(3,1)
106Grant(32)  <= Signal_grant(4)(2) or Signal_grant(19)(2); --  Grant(3,2)
107Grant(33)  <= Signal_grant(5)(3) or Signal_grant(20)(3); --  Grant(3,3)
108Grant(34)  <= Signal_grant(6)(4) or Signal_grant(21)(4); --  Grant(3,4)
109Grant(35)  <= Signal_grant(7)(5) or Signal_grant(22)(5); --  Grant(3,5)
110Grant(36)  <= Signal_grant(8)(6) or Signal_grant(23)(6); --  Grant(3,6)
111Grant(37)  <= Signal_grant(9)(7) or Signal_grant(24)(7); --  Grant(3,7)
112Grant(38)  <= Signal_grant(10)(8) or Signal_grant(25)(8); --  Grant(3,8)
113Grant(39)  <= Signal_grant(11)(9) or Signal_grant(26)(9); --  Grant(3,9)
114Grant(40)  <= Signal_grant(12)(10) or Signal_grant(27)(10); --  Grant(3,10)
115Grant(41)  <= Signal_grant(13)(11) or Signal_grant(28)(11); --  Grant(3,11)
116Grant(42)  <= Signal_grant(14)(12) or Signal_grant(29)(12); --  Grant(3,12)
117Grant(43)  <= Signal_grant(15)(13) ;                      --  Grant(3,13)
118Grant(44)  <= Signal_grant(1)(14) or Signal_grant(16)(14); --  Grant(3,14)
119Grant(45)  <= Signal_grant(2)(15) or Signal_grant(17)(15); --  Grant(3,15)
120Grant(46)  <= Signal_grant(4)(1) or Signal_grant(19)(1); --  Grant(4,1)
121Grant(47)  <= Signal_grant(5)(2) or Signal_grant(20)(2); --  Grant(4,2)
122Grant(48)  <= Signal_grant(6)(3) or Signal_grant(21)(3); --  Grant(4,3)
123Grant(49)  <= Signal_grant(7)(4) or Signal_grant(22)(4); --  Grant(4,4)
124Grant(50)  <= Signal_grant(8)(5) or Signal_grant(23)(5); --  Grant(4,5)
125Grant(51)  <= Signal_grant(9)(6) or Signal_grant(24)(6); --  Grant(4,6)
126Grant(52)  <= Signal_grant(10)(7) or Signal_grant(25)(7); --  Grant(4,7)
127Grant(53)  <= Signal_grant(11)(8) or Signal_grant(26)(8); --  Grant(4,8)
128Grant(54)  <= Signal_grant(12)(9) or Signal_grant(27)(9); --  Grant(4,9)
129Grant(55)  <= Signal_grant(13)(10) or Signal_grant(28)(10); --  Grant(4,10)
130Grant(56)  <= Signal_grant(14)(11) or Signal_grant(29)(11); --  Grant(4,11)
131Grant(57)  <= Signal_grant(15)(12) ;                      --  Grant(4,12)
132Grant(58)  <= Signal_grant(1)(13) or Signal_grant(16)(13); --  Grant(4,13)
133Grant(59)  <= Signal_grant(2)(14) or Signal_grant(17)(14); --  Grant(4,14)
134Grant(60)  <= Signal_grant(3)(15) or Signal_grant(18)(15); --  Grant(4,15)
135Grant(61)  <= Signal_grant(5)(1) or Signal_grant(20)(1); --  Grant(5,1)
136Grant(62)  <= Signal_grant(6)(2) or Signal_grant(21)(2); --  Grant(5,2)
137Grant(63)  <= Signal_grant(7)(3) or Signal_grant(22)(3); --  Grant(5,3)
138Grant(64)  <= Signal_grant(8)(4) or Signal_grant(23)(4); --  Grant(5,4)
139Grant(65)  <= Signal_grant(9)(5) or Signal_grant(24)(5); --  Grant(5,5)
140Grant(66)  <= Signal_grant(10)(6) or Signal_grant(25)(6); --  Grant(5,6)
141Grant(67)  <= Signal_grant(11)(7) or Signal_grant(26)(7); --  Grant(5,7)
142Grant(68)  <= Signal_grant(12)(8) or Signal_grant(27)(8); --  Grant(5,8)
143Grant(69)  <= Signal_grant(13)(9) or Signal_grant(28)(9); --  Grant(5,9)
144Grant(70)  <= Signal_grant(14)(10) or Signal_grant(29)(10); --  Grant(5,10)
145Grant(71)  <= Signal_grant(15)(11) ;                      --  Grant(5,11)
146Grant(72)  <= Signal_grant(1)(12) or Signal_grant(16)(12); --  Grant(5,12)
147Grant(73)  <= Signal_grant(2)(13) or Signal_grant(17)(13); --  Grant(5,13)
148Grant(74)  <= Signal_grant(3)(14) or Signal_grant(18)(14); --  Grant(5,14)
149Grant(75)  <= Signal_grant(4)(15) or Signal_grant(19)(15); --  Grant(5,15)
150Grant(76)  <= Signal_grant(6)(1) or Signal_grant(21)(1); --  Grant(6,1)
151Grant(77)  <= Signal_grant(7)(2) or Signal_grant(22)(2); --  Grant(6,2)
152Grant(78)  <= Signal_grant(8)(3) or Signal_grant(23)(3); --  Grant(6,3)
153Grant(79)  <= Signal_grant(9)(4) or Signal_grant(24)(4); --  Grant(6,4)
154Grant(80)  <= Signal_grant(10)(5) or Signal_grant(25)(5); --  Grant(6,5)
155Grant(81)  <= Signal_grant(11)(6) or Signal_grant(26)(6); --  Grant(6,6)
156Grant(82)  <= Signal_grant(12)(7) or Signal_grant(27)(7); --  Grant(6,7)
157Grant(83)  <= Signal_grant(13)(8) or Signal_grant(28)(8); --  Grant(6,8)
158Grant(84)  <= Signal_grant(14)(9) or Signal_grant(29)(9); --  Grant(6,9)
159Grant(85)  <= Signal_grant(15)(10) ;                      --  Grant(6,10)
160Grant(86)  <= Signal_grant(1)(11) or Signal_grant(16)(11); --  Grant(6,11)
161Grant(87)  <= Signal_grant(2)(12) or Signal_grant(17)(12); --  Grant(6,12)
162Grant(88)  <= Signal_grant(3)(13) or Signal_grant(18)(13); --  Grant(6,13)
163Grant(89)  <= Signal_grant(4)(14) or Signal_grant(19)(14); --  Grant(6,14)
164Grant(90)  <= Signal_grant(5)(15) or Signal_grant(20)(15); --  Grant(6,15)
165Grant(91)  <= Signal_grant(7)(1) or Signal_grant(22)(1); --  Grant(7,1)
166Grant(92)  <= Signal_grant(8)(2) or Signal_grant(23)(2); --  Grant(7,2)
167Grant(93)  <= Signal_grant(9)(3) or Signal_grant(24)(3); --  Grant(7,3)
168Grant(94)  <= Signal_grant(10)(4) or Signal_grant(25)(4); --  Grant(7,4)
169Grant(95)  <= Signal_grant(11)(5) or Signal_grant(26)(5); --  Grant(7,5)
170Grant(96)  <= Signal_grant(12)(6) or Signal_grant(27)(6); --  Grant(7,6)
171Grant(97)  <= Signal_grant(13)(7) or Signal_grant(28)(7); --  Grant(7,7)
172Grant(98)  <= Signal_grant(14)(8) or Signal_grant(29)(8); --  Grant(7,8)
173Grant(99)  <= Signal_grant(15)(9) ;                      --  Grant(7,9)
174Grant(100)  <= Signal_grant(1)(10) or Signal_grant(16)(10); --  Grant(7,10)
175Grant(101)  <= Signal_grant(2)(11) or Signal_grant(17)(11); --  Grant(7,11)
176Grant(102)  <= Signal_grant(3)(12) or Signal_grant(18)(12); --  Grant(7,12)
177Grant(103)  <= Signal_grant(4)(13) or Signal_grant(19)(13); --  Grant(7,13)
178Grant(104)  <= Signal_grant(5)(14) or Signal_grant(20)(14); --  Grant(7,14)
179Grant(105)  <= Signal_grant(6)(15) or Signal_grant(21)(15); --  Grant(7,15)
180Grant(106)  <= Signal_grant(8)(1) or Signal_grant(23)(1); --  Grant(8,1)
181Grant(107)  <= Signal_grant(9)(2) or Signal_grant(24)(2); --  Grant(8,2)
182Grant(108)  <= Signal_grant(10)(3) or Signal_grant(25)(3); --  Grant(8,3)
183Grant(109)  <= Signal_grant(11)(4) or Signal_grant(26)(4); --  Grant(8,4)
184Grant(110)  <= Signal_grant(12)(5) or Signal_grant(27)(5); --  Grant(8,5)
185Grant(111)  <= Signal_grant(13)(6) or Signal_grant(28)(6); --  Grant(8,6)
186Grant(112)  <= Signal_grant(14)(7) or Signal_grant(29)(7); --  Grant(8,7)
187Grant(113)  <= Signal_grant(15)(8) ;                      --  Grant(8,8)
188Grant(114)  <= Signal_grant(1)(9) or Signal_grant(16)(9); --  Grant(8,9)
189Grant(115)  <= Signal_grant(2)(10) or Signal_grant(17)(10); --  Grant(8,10)
190Grant(116)  <= Signal_grant(3)(11) or Signal_grant(18)(11); --  Grant(8,11)
191Grant(117)  <= Signal_grant(4)(12) or Signal_grant(19)(12); --  Grant(8,12)
192Grant(118)  <= Signal_grant(5)(13) or Signal_grant(20)(13); --  Grant(8,13)
193Grant(119)  <= Signal_grant(6)(14) or Signal_grant(21)(14); --  Grant(8,14)
194Grant(120)  <= Signal_grant(7)(15) or Signal_grant(22)(15); --  Grant(8,15)
195Grant(121)  <= Signal_grant(9)(1) or Signal_grant(24)(1); --  Grant(9,1)
196Grant(122)  <= Signal_grant(10)(2) or Signal_grant(25)(2); --  Grant(9,2)
197Grant(123)  <= Signal_grant(11)(3) or Signal_grant(26)(3); --  Grant(9,3)
198Grant(124)  <= Signal_grant(12)(4) or Signal_grant(27)(4); --  Grant(9,4)
199Grant(125)  <= Signal_grant(13)(5) or Signal_grant(28)(5); --  Grant(9,5)
200Grant(126)  <= Signal_grant(14)(6) or Signal_grant(29)(6); --  Grant(9,6)
201Grant(127)  <= Signal_grant(15)(7) ;                      --  Grant(9,7)
202Grant(128)  <= Signal_grant(1)(8) or Signal_grant(16)(8); --  Grant(9,8)
203Grant(129)  <= Signal_grant(2)(9) or Signal_grant(17)(9); --  Grant(9,9)
204Grant(130)  <= Signal_grant(3)(10) or Signal_grant(18)(10); --  Grant(9,10)
205Grant(131)  <= Signal_grant(4)(11) or Signal_grant(19)(11); --  Grant(9,11)
206Grant(132)  <= Signal_grant(5)(12) or Signal_grant(20)(12); --  Grant(9,12)
207Grant(133)  <= Signal_grant(6)(13) or Signal_grant(21)(13); --  Grant(9,13)
208Grant(134)  <= Signal_grant(7)(14) or Signal_grant(22)(14); --  Grant(9,14)
209Grant(135)  <= Signal_grant(8)(15) or Signal_grant(23)(15); --  Grant(9,15)
210Grant(136)  <= Signal_grant(10)(1) or Signal_grant(25)(1); --  Grant(10,1)
211Grant(137)  <= Signal_grant(11)(2) or Signal_grant(26)(2); --  Grant(10,2)
212Grant(138)  <= Signal_grant(12)(3) or Signal_grant(27)(3); --  Grant(10,3)
213Grant(139)  <= Signal_grant(13)(4) or Signal_grant(28)(4); --  Grant(10,4)
214Grant(140)  <= Signal_grant(14)(5) or Signal_grant(29)(5); --  Grant(10,5)
215Grant(141)  <= Signal_grant(15)(6) ;                      --  Grant(10,6)
216Grant(142)  <= Signal_grant(1)(7) or Signal_grant(16)(7); --  Grant(10,7)
217Grant(143)  <= Signal_grant(2)(8) or Signal_grant(17)(8); --  Grant(10,8)
218Grant(144)  <= Signal_grant(3)(9) or Signal_grant(18)(9); --  Grant(10,9)
219Grant(145)  <= Signal_grant(4)(10) or Signal_grant(19)(10); --  Grant(10,10)
220Grant(146)  <= Signal_grant(5)(11) or Signal_grant(20)(11); --  Grant(10,11)
221Grant(147)  <= Signal_grant(6)(12) or Signal_grant(21)(12); --  Grant(10,12)
222Grant(148)  <= Signal_grant(7)(13) or Signal_grant(22)(13); --  Grant(10,13)
223Grant(149)  <= Signal_grant(8)(14) or Signal_grant(23)(14); --  Grant(10,14)
224Grant(150)  <= Signal_grant(9)(15) or Signal_grant(24)(15); --  Grant(10,15)
225Grant(151)  <= Signal_grant(11)(1) or Signal_grant(26)(1); --  Grant(11,1)
226Grant(152)  <= Signal_grant(12)(2) or Signal_grant(27)(2); --  Grant(11,2)
227Grant(153)  <= Signal_grant(13)(3) or Signal_grant(28)(3); --  Grant(11,3)
228Grant(154)  <= Signal_grant(14)(4) or Signal_grant(29)(4); --  Grant(11,4)
229Grant(155)  <= Signal_grant(15)(5) ;                      --  Grant(11,5)
230Grant(156)  <= Signal_grant(1)(6) or Signal_grant(16)(6); --  Grant(11,6)
231Grant(157)  <= Signal_grant(2)(7) or Signal_grant(17)(7); --  Grant(11,7)
232Grant(158)  <= Signal_grant(3)(8) or Signal_grant(18)(8); --  Grant(11,8)
233Grant(159)  <= Signal_grant(4)(9) or Signal_grant(19)(9); --  Grant(11,9)
234Grant(160)  <= Signal_grant(5)(10) or Signal_grant(20)(10); --  Grant(11,10)
235Grant(161)  <= Signal_grant(6)(11) or Signal_grant(21)(11); --  Grant(11,11)
236Grant(162)  <= Signal_grant(7)(12) or Signal_grant(22)(12); --  Grant(11,12)
237Grant(163)  <= Signal_grant(8)(13) or Signal_grant(23)(13); --  Grant(11,13)
238Grant(164)  <= Signal_grant(9)(14) or Signal_grant(24)(14); --  Grant(11,14)
239Grant(165)  <= Signal_grant(10)(15) or Signal_grant(25)(15); --  Grant(11,15)
240Grant(166)  <= Signal_grant(12)(1) or Signal_grant(27)(1); --  Grant(12,1)
241Grant(167)  <= Signal_grant(13)(2) or Signal_grant(28)(2); --  Grant(12,2)
242Grant(168)  <= Signal_grant(14)(3) or Signal_grant(29)(3); --  Grant(12,3)
243Grant(169)  <= Signal_grant(15)(4) ;                      --  Grant(12,4)
244Grant(170)  <= Signal_grant(1)(5) or Signal_grant(16)(5); --  Grant(12,5)
245Grant(171)  <= Signal_grant(2)(6) or Signal_grant(17)(6); --  Grant(12,6)
246Grant(172)  <= Signal_grant(3)(7) or Signal_grant(18)(7); --  Grant(12,7)
247Grant(173)  <= Signal_grant(4)(8) or Signal_grant(19)(8); --  Grant(12,8)
248Grant(174)  <= Signal_grant(5)(9) or Signal_grant(20)(9); --  Grant(12,9)
249Grant(175)  <= Signal_grant(6)(10) or Signal_grant(21)(10); --  Grant(12,10)
250Grant(176)  <= Signal_grant(7)(11) or Signal_grant(22)(11); --  Grant(12,11)
251Grant(177)  <= Signal_grant(8)(12) or Signal_grant(23)(12); --  Grant(12,12)
252Grant(178)  <= Signal_grant(9)(13) or Signal_grant(24)(13); --  Grant(12,13)
253Grant(179)  <= Signal_grant(10)(14) or Signal_grant(25)(14); --  Grant(12,14)
254Grant(180)  <= Signal_grant(11)(15) or Signal_grant(26)(15); --  Grant(12,15)
255Grant(181)  <= Signal_grant(13)(1) or Signal_grant(28)(1); --  Grant(13,1)
256Grant(182)  <= Signal_grant(14)(2) or Signal_grant(29)(2); --  Grant(13,2)
257Grant(183)  <= Signal_grant(15)(3) ;                      --  Grant(13,3)
258Grant(184)  <= Signal_grant(1)(4) or Signal_grant(16)(4); --  Grant(13,4)
259Grant(185)  <= Signal_grant(2)(5) or Signal_grant(17)(5); --  Grant(13,5)
260Grant(186)  <= Signal_grant(3)(6) or Signal_grant(18)(6); --  Grant(13,6)
261Grant(187)  <= Signal_grant(4)(7) or Signal_grant(19)(7); --  Grant(13,7)
262Grant(188)  <= Signal_grant(5)(8) or Signal_grant(20)(8); --  Grant(13,8)
263Grant(189)  <= Signal_grant(6)(9) or Signal_grant(21)(9); --  Grant(13,9)
264Grant(190)  <= Signal_grant(7)(10) or Signal_grant(22)(10); --  Grant(13,10)
265Grant(191)  <= Signal_grant(8)(11) or Signal_grant(23)(11); --  Grant(13,11)
266Grant(192)  <= Signal_grant(9)(12) or Signal_grant(24)(12); --  Grant(13,12)
267Grant(193)  <= Signal_grant(10)(13) or Signal_grant(25)(13); --  Grant(13,13)
268Grant(194)  <= Signal_grant(11)(14) or Signal_grant(26)(14); --  Grant(13,14)
269Grant(195)  <= Signal_grant(12)(15) or Signal_grant(27)(15); --  Grant(13,15)
270Grant(196)  <= Signal_grant(14)(1) or Signal_grant(29)(1); --  Grant(14,1)
271Grant(197)  <= Signal_grant(15)(2) ;                      --  Grant(14,2)
272Grant(198)  <= Signal_grant(1)(3) or Signal_grant(16)(3); --  Grant(14,3)
273Grant(199)  <= Signal_grant(2)(4) or Signal_grant(17)(4); --  Grant(14,4)
274Grant(200)  <= Signal_grant(3)(5) or Signal_grant(18)(5); --  Grant(14,5)
275Grant(201)  <= Signal_grant(4)(6) or Signal_grant(19)(6); --  Grant(14,6)
276Grant(202)  <= Signal_grant(5)(7) or Signal_grant(20)(7); --  Grant(14,7)
277Grant(203)  <= Signal_grant(6)(8) or Signal_grant(21)(8); --  Grant(14,8)
278Grant(204)  <= Signal_grant(7)(9) or Signal_grant(22)(9); --  Grant(14,9)
279Grant(205)  <= Signal_grant(8)(10) or Signal_grant(23)(10); --  Grant(14,10)
280Grant(206)  <= Signal_grant(9)(11) or Signal_grant(24)(11); --  Grant(14,11)
281Grant(207)  <= Signal_grant(10)(12) or Signal_grant(25)(12); --  Grant(14,12)
282Grant(208)  <= Signal_grant(11)(13) or Signal_grant(26)(13); --  Grant(14,13)
283Grant(209)  <= Signal_grant(12)(14) or Signal_grant(27)(14); --  Grant(14,14)
284Grant(210)  <= Signal_grant(13)(15) or Signal_grant(28)(15); --  Grant(14,15)
285Grant(211)  <= Signal_grant(15)(1) ;                      --  Grant(15,1)
286Grant(212)  <= Signal_grant(1)(2) or Signal_grant(16)(2); --  Grant(15,2)
287Grant(213)  <= Signal_grant(2)(3) or Signal_grant(17)(3); --  Grant(15,3)
288Grant(214)  <= Signal_grant(3)(4) or Signal_grant(18)(4); --  Grant(15,4)
289Grant(215)  <= Signal_grant(4)(5) or Signal_grant(19)(5); --  Grant(15,5)
290Grant(216)  <= Signal_grant(5)(6) or Signal_grant(20)(6); --  Grant(15,6)
291Grant(217)  <= Signal_grant(6)(7) or Signal_grant(21)(7); --  Grant(15,7)
292Grant(218)  <= Signal_grant(7)(8) or Signal_grant(22)(8); --  Grant(15,8)
293Grant(219)  <= Signal_grant(8)(9) or Signal_grant(23)(9); --  Grant(15,9)
294Grant(220)  <= Signal_grant(9)(10) or Signal_grant(24)(10); --  Grant(15,10)
295Grant(221)  <= Signal_grant(10)(11) or Signal_grant(25)(11); --  Grant(15,11)
296Grant(222)  <= Signal_grant(11)(12) or Signal_grant(26)(12); --  Grant(15,12)
297Grant(223)  <= Signal_grant(12)(13) or Signal_grant(27)(13); --  Grant(15,13)
298Grant(224)  <= Signal_grant(13)(14) or Signal_grant(28)(14); --  Grant(15,14)
299Grant(225)  <= Signal_grant(14)(15) or Signal_grant(29)(15); --  Grant(15,15)
300High <= '1';
301
302----instantiations des cellules arbitres et interconnection
303
304-------------------------- Diagonale n° 1
305
306
307Arbiter_1_1 : Arbiter
308
309PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(1), 
310South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
311
312Arbiter_1_2 : Arbiter
313
314PORT MAP (Request => Request(212), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(2), 
315South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
316
317Arbiter_1_3 : Arbiter
318
319PORT MAP (Request => Request(198), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(3), 
320South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
321
322Arbiter_1_4 : Arbiter
323
324PORT MAP (Request => Request(184), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(4), 
325South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
326
327Arbiter_1_5 : Arbiter
328
329PORT MAP (Request => Request(170), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(5), 
330South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
331
332Arbiter_1_6 : Arbiter
333
334PORT MAP (Request => Request(156), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(6), 
335South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
336
337Arbiter_1_7 : Arbiter
338
339PORT MAP (Request => Request(142), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(7), 
340South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
341
342Arbiter_1_8 : Arbiter
343
344PORT MAP (Request => Request(128), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(8), 
345South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
346
347Arbiter_1_9 : Arbiter
348
349PORT MAP (Request => Request(114), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(9), 
350South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
351
352Arbiter_1_10 : Arbiter
353
354PORT MAP (Request => Request(100), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(10), 
355South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
356
357Arbiter_1_11 : Arbiter
358
359PORT MAP (Request => Request(86), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(11), 
360South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
361
362Arbiter_1_12 : Arbiter
363
364PORT MAP (Request => Request(72), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(12), 
365South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
366
367Arbiter_1_13 : Arbiter
368
369PORT MAP (Request => Request(58), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(13), 
370South => south_2_north(1)(13), East => east_2_west(1)(13) , Grant => Signal_grant(1)(13));
371
372Arbiter_1_14 : Arbiter
373
374PORT MAP (Request => Request(44), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(14), 
375South => south_2_north(1)(14), East => east_2_west(1)(14) , Grant => Signal_grant(1)(14));
376
377Arbiter_1_15 : Arbiter
378
379PORT MAP (Request => Request(30), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(15), 
380South => south_2_north(1)(15), East => east_2_west(1)(15) , Grant => Signal_grant(1)(15));
381
382-------------------------- Diagonale n° 2
383
384
385Arbiter_2_1 : Arbiter
386
387PORT MAP (Request => Request(16), North => south_2_north(1)(1), West => east_2_west(1)(15), P => Signal_priority(28), Fifo_full => Fifo_full(1), 
388South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
389
390Arbiter_2_2 : Arbiter
391
392PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(28), Fifo_full => Fifo_full(2), 
393South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
394
395Arbiter_2_3 : Arbiter
396
397PORT MAP (Request => Request(213), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(28), Fifo_full => Fifo_full(3), 
398South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
399
400Arbiter_2_4 : Arbiter
401
402PORT MAP (Request => Request(199), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(28), Fifo_full => Fifo_full(4), 
403South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
404
405Arbiter_2_5 : Arbiter
406
407PORT MAP (Request => Request(185), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(28), Fifo_full => Fifo_full(5), 
408South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
409
410Arbiter_2_6 : Arbiter
411
412PORT MAP (Request => Request(171), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(28), Fifo_full => Fifo_full(6), 
413South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
414
415Arbiter_2_7 : Arbiter
416
417PORT MAP (Request => Request(157), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(28), Fifo_full => Fifo_full(7), 
418South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
419
420Arbiter_2_8 : Arbiter
421
422PORT MAP (Request => Request(143), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(28), Fifo_full => Fifo_full(8), 
423South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
424
425Arbiter_2_9 : Arbiter
426
427PORT MAP (Request => Request(129), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(28), Fifo_full => Fifo_full(9), 
428South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
429
430Arbiter_2_10 : Arbiter
431
432PORT MAP (Request => Request(115), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(28), Fifo_full => Fifo_full(10), 
433South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
434
435Arbiter_2_11 : Arbiter
436
437PORT MAP (Request => Request(101), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(28), Fifo_full => Fifo_full(11), 
438South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
439
440Arbiter_2_12 : Arbiter
441
442PORT MAP (Request => Request(87), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(28), Fifo_full => Fifo_full(12), 
443South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
444
445Arbiter_2_13 : Arbiter
446
447PORT MAP (Request => Request(73), North => south_2_north(1)(13), West => east_2_west(1)(12), P => Signal_priority(28), Fifo_full => Fifo_full(13), 
448South => south_2_north(2)(13), East => east_2_west(2)(13) , Grant => Signal_grant(2)(13));
449
450Arbiter_2_14 : Arbiter
451
452PORT MAP (Request => Request(59), North => south_2_north(1)(14), West => east_2_west(1)(13), P => Signal_priority(28), Fifo_full => Fifo_full(14), 
453South => south_2_north(2)(14), East => east_2_west(2)(14) , Grant => Signal_grant(2)(14));
454
455Arbiter_2_15 : Arbiter
456
457PORT MAP (Request => Request(45), North => south_2_north(1)(15), West => east_2_west(1)(14), P => Signal_priority(28), Fifo_full => Fifo_full(15), 
458South => south_2_north(2)(15), East => east_2_west(2)(15) , Grant => Signal_grant(2)(15));
459
460-------------------------- Diagonale n° 3
461
462
463Arbiter_3_1 : Arbiter
464
465PORT MAP (Request => Request(31), North => south_2_north(2)(1), West => east_2_west(2)(15), P => Signal_priority(27), Fifo_full => Fifo_full(1), 
466South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
467
468Arbiter_3_2 : Arbiter
469
470PORT MAP (Request => Request(17), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(27), Fifo_full => Fifo_full(2), 
471South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
472
473Arbiter_3_3 : Arbiter
474
475PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(27), Fifo_full => Fifo_full(3), 
476South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
477
478Arbiter_3_4 : Arbiter
479
480PORT MAP (Request => Request(214), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(27), Fifo_full => Fifo_full(4), 
481South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
482
483Arbiter_3_5 : Arbiter
484
485PORT MAP (Request => Request(200), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(27), Fifo_full => Fifo_full(5), 
486South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
487
488Arbiter_3_6 : Arbiter
489
490PORT MAP (Request => Request(186), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(27), Fifo_full => Fifo_full(6), 
491South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
492
493Arbiter_3_7 : Arbiter
494
495PORT MAP (Request => Request(172), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(27), Fifo_full => Fifo_full(7), 
496South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
497
498Arbiter_3_8 : Arbiter
499
500PORT MAP (Request => Request(158), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(27), Fifo_full => Fifo_full(8), 
501South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
502
503Arbiter_3_9 : Arbiter
504
505PORT MAP (Request => Request(144), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(27), Fifo_full => Fifo_full(9), 
506South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
507
508Arbiter_3_10 : Arbiter
509
510PORT MAP (Request => Request(130), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(27), Fifo_full => Fifo_full(10), 
511South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
512
513Arbiter_3_11 : Arbiter
514
515PORT MAP (Request => Request(116), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(27), Fifo_full => Fifo_full(11), 
516South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
517
518Arbiter_3_12 : Arbiter
519
520PORT MAP (Request => Request(102), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(27), Fifo_full => Fifo_full(12), 
521South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
522
523Arbiter_3_13 : Arbiter
524
525PORT MAP (Request => Request(88), North => south_2_north(2)(13), West => east_2_west(2)(12), P => Signal_priority(27), Fifo_full => Fifo_full(13), 
526South => south_2_north(3)(13), East => east_2_west(3)(13) , Grant => Signal_grant(3)(13));
527
528Arbiter_3_14 : Arbiter
529
530PORT MAP (Request => Request(74), North => south_2_north(2)(14), West => east_2_west(2)(13), P => Signal_priority(27), Fifo_full => Fifo_full(14), 
531South => south_2_north(3)(14), East => east_2_west(3)(14) , Grant => Signal_grant(3)(14));
532
533Arbiter_3_15 : Arbiter
534
535PORT MAP (Request => Request(60), North => south_2_north(2)(15), West => east_2_west(2)(14), P => Signal_priority(27), Fifo_full => Fifo_full(15), 
536South => south_2_north(3)(15), East => east_2_west(3)(15) , Grant => Signal_grant(3)(15));
537
538-------------------------- Diagonale n° 4
539
540
541Arbiter_4_1 : Arbiter
542
543PORT MAP (Request => Request(46), North => south_2_north(3)(1), West => east_2_west(3)(15), P => Signal_priority(26), Fifo_full => Fifo_full(1), 
544South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
545
546Arbiter_4_2 : Arbiter
547
548PORT MAP (Request => Request(32), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(26), Fifo_full => Fifo_full(2), 
549South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
550
551Arbiter_4_3 : Arbiter
552
553PORT MAP (Request => Request(18), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(26), Fifo_full => Fifo_full(3), 
554South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
555
556Arbiter_4_4 : Arbiter
557
558PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(26), Fifo_full => Fifo_full(4), 
559South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
560
561Arbiter_4_5 : Arbiter
562
563PORT MAP (Request => Request(215), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(26), Fifo_full => Fifo_full(5), 
564South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
565
566Arbiter_4_6 : Arbiter
567
568PORT MAP (Request => Request(201), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(26), Fifo_full => Fifo_full(6), 
569South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
570
571Arbiter_4_7 : Arbiter
572
573PORT MAP (Request => Request(187), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(26), Fifo_full => Fifo_full(7), 
574South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
575
576Arbiter_4_8 : Arbiter
577
578PORT MAP (Request => Request(173), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(26), Fifo_full => Fifo_full(8), 
579South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
580
581Arbiter_4_9 : Arbiter
582
583PORT MAP (Request => Request(159), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(26), Fifo_full => Fifo_full(9), 
584South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
585
586Arbiter_4_10 : Arbiter
587
588PORT MAP (Request => Request(145), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(26), Fifo_full => Fifo_full(10), 
589South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
590
591Arbiter_4_11 : Arbiter
592
593PORT MAP (Request => Request(131), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(26), Fifo_full => Fifo_full(11), 
594South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
595
596Arbiter_4_12 : Arbiter
597
598PORT MAP (Request => Request(117), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(26), Fifo_full => Fifo_full(12), 
599South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
600
601Arbiter_4_13 : Arbiter
602
603PORT MAP (Request => Request(103), North => south_2_north(3)(13), West => east_2_west(3)(12), P => Signal_priority(26), Fifo_full => Fifo_full(13), 
604South => south_2_north(4)(13), East => east_2_west(4)(13) , Grant => Signal_grant(4)(13));
605
606Arbiter_4_14 : Arbiter
607
608PORT MAP (Request => Request(89), North => south_2_north(3)(14), West => east_2_west(3)(13), P => Signal_priority(26), Fifo_full => Fifo_full(14), 
609South => south_2_north(4)(14), East => east_2_west(4)(14) , Grant => Signal_grant(4)(14));
610
611Arbiter_4_15 : Arbiter
612
613PORT MAP (Request => Request(75), North => south_2_north(3)(15), West => east_2_west(3)(14), P => Signal_priority(26), Fifo_full => Fifo_full(15), 
614South => south_2_north(4)(15), East => east_2_west(4)(15) , Grant => Signal_grant(4)(15));
615
616-------------------------- Diagonale n° 5
617
618
619Arbiter_5_1 : Arbiter
620
621PORT MAP (Request => Request(61), North => south_2_north(4)(1), West => east_2_west(4)(15), P => Signal_priority(25), Fifo_full => Fifo_full(1), 
622South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
623
624Arbiter_5_2 : Arbiter
625
626PORT MAP (Request => Request(47), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(25), Fifo_full => Fifo_full(2), 
627South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
628
629Arbiter_5_3 : Arbiter
630
631PORT MAP (Request => Request(33), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(25), Fifo_full => Fifo_full(3), 
632South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
633
634Arbiter_5_4 : Arbiter
635
636PORT MAP (Request => Request(19), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(25), Fifo_full => Fifo_full(4), 
637South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
638
639Arbiter_5_5 : Arbiter
640
641PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(25), Fifo_full => Fifo_full(5), 
642South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
643
644Arbiter_5_6 : Arbiter
645
646PORT MAP (Request => Request(216), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(25), Fifo_full => Fifo_full(6), 
647South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
648
649Arbiter_5_7 : Arbiter
650
651PORT MAP (Request => Request(202), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(25), Fifo_full => Fifo_full(7), 
652South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
653
654Arbiter_5_8 : Arbiter
655
656PORT MAP (Request => Request(188), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(25), Fifo_full => Fifo_full(8), 
657South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
658
659Arbiter_5_9 : Arbiter
660
661PORT MAP (Request => Request(174), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(25), Fifo_full => Fifo_full(9), 
662South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
663
664Arbiter_5_10 : Arbiter
665
666PORT MAP (Request => Request(160), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(25), Fifo_full => Fifo_full(10), 
667South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
668
669Arbiter_5_11 : Arbiter
670
671PORT MAP (Request => Request(146), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(25), Fifo_full => Fifo_full(11), 
672South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
673
674Arbiter_5_12 : Arbiter
675
676PORT MAP (Request => Request(132), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(25), Fifo_full => Fifo_full(12), 
677South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
678
679Arbiter_5_13 : Arbiter
680
681PORT MAP (Request => Request(118), North => south_2_north(4)(13), West => east_2_west(4)(12), P => Signal_priority(25), Fifo_full => Fifo_full(13), 
682South => south_2_north(5)(13), East => east_2_west(5)(13) , Grant => Signal_grant(5)(13));
683
684Arbiter_5_14 : Arbiter
685
686PORT MAP (Request => Request(104), North => south_2_north(4)(14), West => east_2_west(4)(13), P => Signal_priority(25), Fifo_full => Fifo_full(14), 
687South => south_2_north(5)(14), East => east_2_west(5)(14) , Grant => Signal_grant(5)(14));
688
689Arbiter_5_15 : Arbiter
690
691PORT MAP (Request => Request(90), North => south_2_north(4)(15), West => east_2_west(4)(14), P => Signal_priority(25), Fifo_full => Fifo_full(15), 
692South => south_2_north(5)(15), East => east_2_west(5)(15) , Grant => Signal_grant(5)(15));
693
694-------------------------- Diagonale n° 6
695
696
697Arbiter_6_1 : Arbiter
698
699PORT MAP (Request => Request(76), North => south_2_north(5)(1), West => east_2_west(5)(15), P => Signal_priority(24), Fifo_full => Fifo_full(1), 
700South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
701
702Arbiter_6_2 : Arbiter
703
704PORT MAP (Request => Request(62), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(24), Fifo_full => Fifo_full(2), 
705South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
706
707Arbiter_6_3 : Arbiter
708
709PORT MAP (Request => Request(48), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(24), Fifo_full => Fifo_full(3), 
710South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
711
712Arbiter_6_4 : Arbiter
713
714PORT MAP (Request => Request(34), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(24), Fifo_full => Fifo_full(4), 
715South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
716
717Arbiter_6_5 : Arbiter
718
719PORT MAP (Request => Request(20), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(24), Fifo_full => Fifo_full(5), 
720South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
721
722Arbiter_6_6 : Arbiter
723
724PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(24), Fifo_full => Fifo_full(6), 
725South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
726
727Arbiter_6_7 : Arbiter
728
729PORT MAP (Request => Request(217), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(24), Fifo_full => Fifo_full(7), 
730South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
731
732Arbiter_6_8 : Arbiter
733
734PORT MAP (Request => Request(203), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(24), Fifo_full => Fifo_full(8), 
735South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
736
737Arbiter_6_9 : Arbiter
738
739PORT MAP (Request => Request(189), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(24), Fifo_full => Fifo_full(9), 
740South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
741
742Arbiter_6_10 : Arbiter
743
744PORT MAP (Request => Request(175), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(24), Fifo_full => Fifo_full(10), 
745South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
746
747Arbiter_6_11 : Arbiter
748
749PORT MAP (Request => Request(161), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(24), Fifo_full => Fifo_full(11), 
750South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
751
752Arbiter_6_12 : Arbiter
753
754PORT MAP (Request => Request(147), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(24), Fifo_full => Fifo_full(12), 
755South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
756
757Arbiter_6_13 : Arbiter
758
759PORT MAP (Request => Request(133), North => south_2_north(5)(13), West => east_2_west(5)(12), P => Signal_priority(24), Fifo_full => Fifo_full(13), 
760South => south_2_north(6)(13), East => east_2_west(6)(13) , Grant => Signal_grant(6)(13));
761
762Arbiter_6_14 : Arbiter
763
764PORT MAP (Request => Request(119), North => south_2_north(5)(14), West => east_2_west(5)(13), P => Signal_priority(24), Fifo_full => Fifo_full(14), 
765South => south_2_north(6)(14), East => east_2_west(6)(14) , Grant => Signal_grant(6)(14));
766
767Arbiter_6_15 : Arbiter
768
769PORT MAP (Request => Request(105), North => south_2_north(5)(15), West => east_2_west(5)(14), P => Signal_priority(24), Fifo_full => Fifo_full(15), 
770South => south_2_north(6)(15), East => east_2_west(6)(15) , Grant => Signal_grant(6)(15));
771
772-------------------------- Diagonale n° 7
773
774
775Arbiter_7_1 : Arbiter
776
777PORT MAP (Request => Request(91), North => south_2_north(6)(1), West => east_2_west(6)(15), P => Signal_priority(23), Fifo_full => Fifo_full(1), 
778South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
779
780Arbiter_7_2 : Arbiter
781
782PORT MAP (Request => Request(77), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(23), Fifo_full => Fifo_full(2), 
783South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
784
785Arbiter_7_3 : Arbiter
786
787PORT MAP (Request => Request(63), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(23), Fifo_full => Fifo_full(3), 
788South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
789
790Arbiter_7_4 : Arbiter
791
792PORT MAP (Request => Request(49), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(23), Fifo_full => Fifo_full(4), 
793South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
794
795Arbiter_7_5 : Arbiter
796
797PORT MAP (Request => Request(35), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(23), Fifo_full => Fifo_full(5), 
798South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
799
800Arbiter_7_6 : Arbiter
801
802PORT MAP (Request => Request(21), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(23), Fifo_full => Fifo_full(6), 
803South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
804
805Arbiter_7_7 : Arbiter
806
807PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(23), Fifo_full => Fifo_full(7), 
808South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
809
810Arbiter_7_8 : Arbiter
811
812PORT MAP (Request => Request(218), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(23), Fifo_full => Fifo_full(8), 
813South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
814
815Arbiter_7_9 : Arbiter
816
817PORT MAP (Request => Request(204), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(23), Fifo_full => Fifo_full(9), 
818South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
819
820Arbiter_7_10 : Arbiter
821
822PORT MAP (Request => Request(190), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(23), Fifo_full => Fifo_full(10), 
823South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
824
825Arbiter_7_11 : Arbiter
826
827PORT MAP (Request => Request(176), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(23), Fifo_full => Fifo_full(11), 
828South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
829
830Arbiter_7_12 : Arbiter
831
832PORT MAP (Request => Request(162), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(23), Fifo_full => Fifo_full(12), 
833South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
834
835Arbiter_7_13 : Arbiter
836
837PORT MAP (Request => Request(148), North => south_2_north(6)(13), West => east_2_west(6)(12), P => Signal_priority(23), Fifo_full => Fifo_full(13), 
838South => south_2_north(7)(13), East => east_2_west(7)(13) , Grant => Signal_grant(7)(13));
839
840Arbiter_7_14 : Arbiter
841
842PORT MAP (Request => Request(134), North => south_2_north(6)(14), West => east_2_west(6)(13), P => Signal_priority(23), Fifo_full => Fifo_full(14), 
843South => south_2_north(7)(14), East => east_2_west(7)(14) , Grant => Signal_grant(7)(14));
844
845Arbiter_7_15 : Arbiter
846
847PORT MAP (Request => Request(120), North => south_2_north(6)(15), West => east_2_west(6)(14), P => Signal_priority(23), Fifo_full => Fifo_full(15), 
848South => south_2_north(7)(15), East => east_2_west(7)(15) , Grant => Signal_grant(7)(15));
849
850-------------------------- Diagonale n° 8
851
852
853Arbiter_8_1 : Arbiter
854
855PORT MAP (Request => Request(106), North => south_2_north(7)(1), West => east_2_west(7)(15), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
856South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
857
858Arbiter_8_2 : Arbiter
859
860PORT MAP (Request => Request(92), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
861South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
862
863Arbiter_8_3 : Arbiter
864
865PORT MAP (Request => Request(78), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
866South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
867
868Arbiter_8_4 : Arbiter
869
870PORT MAP (Request => Request(64), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
871South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
872
873Arbiter_8_5 : Arbiter
874
875PORT MAP (Request => Request(50), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
876South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
877
878Arbiter_8_6 : Arbiter
879
880PORT MAP (Request => Request(36), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
881South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
882
883Arbiter_8_7 : Arbiter
884
885PORT MAP (Request => Request(22), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
886South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
887
888Arbiter_8_8 : Arbiter
889
890PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
891South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
892
893Arbiter_8_9 : Arbiter
894
895PORT MAP (Request => Request(219), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
896South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
897
898Arbiter_8_10 : Arbiter
899
900PORT MAP (Request => Request(205), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
901South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
902
903Arbiter_8_11 : Arbiter
904
905PORT MAP (Request => Request(191), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
906South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
907
908Arbiter_8_12 : Arbiter
909
910PORT MAP (Request => Request(177), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
911South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
912
913Arbiter_8_13 : Arbiter
914
915PORT MAP (Request => Request(163), North => south_2_north(7)(13), West => east_2_west(7)(12), P => Signal_priority(22), Fifo_full => Fifo_full(13), 
916South => south_2_north(8)(13), East => east_2_west(8)(13) , Grant => Signal_grant(8)(13));
917
918Arbiter_8_14 : Arbiter
919
920PORT MAP (Request => Request(149), North => south_2_north(7)(14), West => east_2_west(7)(13), P => Signal_priority(22), Fifo_full => Fifo_full(14), 
921South => south_2_north(8)(14), East => east_2_west(8)(14) , Grant => Signal_grant(8)(14));
922
923Arbiter_8_15 : Arbiter
924
925PORT MAP (Request => Request(135), North => south_2_north(7)(15), West => east_2_west(7)(14), P => Signal_priority(22), Fifo_full => Fifo_full(15), 
926South => south_2_north(8)(15), East => east_2_west(8)(15) , Grant => Signal_grant(8)(15));
927
928-------------------------- Diagonale n° 9
929
930
931Arbiter_9_1 : Arbiter
932
933PORT MAP (Request => Request(121), North => south_2_north(8)(1), West => east_2_west(8)(15), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
934South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
935
936Arbiter_9_2 : Arbiter
937
938PORT MAP (Request => Request(107), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
939South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
940
941Arbiter_9_3 : Arbiter
942
943PORT MAP (Request => Request(93), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
944South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
945
946Arbiter_9_4 : Arbiter
947
948PORT MAP (Request => Request(79), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
949South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
950
951Arbiter_9_5 : Arbiter
952
953PORT MAP (Request => Request(65), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
954South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
955
956Arbiter_9_6 : Arbiter
957
958PORT MAP (Request => Request(51), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
959South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
960
961Arbiter_9_7 : Arbiter
962
963PORT MAP (Request => Request(37), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
964South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
965
966Arbiter_9_8 : Arbiter
967
968PORT MAP (Request => Request(23), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
969South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
970
971Arbiter_9_9 : Arbiter
972
973PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
974South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
975
976Arbiter_9_10 : Arbiter
977
978PORT MAP (Request => Request(220), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
979South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
980
981Arbiter_9_11 : Arbiter
982
983PORT MAP (Request => Request(206), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
984South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
985
986Arbiter_9_12 : Arbiter
987
988PORT MAP (Request => Request(192), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
989South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
990
991Arbiter_9_13 : Arbiter
992
993PORT MAP (Request => Request(178), North => south_2_north(8)(13), West => east_2_west(8)(12), P => Signal_priority(21), Fifo_full => Fifo_full(13), 
994South => south_2_north(9)(13), East => east_2_west(9)(13) , Grant => Signal_grant(9)(13));
995
996Arbiter_9_14 : Arbiter
997
998PORT MAP (Request => Request(164), North => south_2_north(8)(14), West => east_2_west(8)(13), P => Signal_priority(21), Fifo_full => Fifo_full(14), 
999South => south_2_north(9)(14), East => east_2_west(9)(14) , Grant => Signal_grant(9)(14));
1000
1001Arbiter_9_15 : Arbiter
1002
1003PORT MAP (Request => Request(150), North => south_2_north(8)(15), West => east_2_west(8)(14), P => Signal_priority(21), Fifo_full => Fifo_full(15), 
1004South => south_2_north(9)(15), East => east_2_west(9)(15) , Grant => Signal_grant(9)(15));
1005
1006-------------------------- Diagonale n° 10
1007
1008
1009Arbiter_10_1 : Arbiter
1010
1011PORT MAP (Request => Request(136), North => south_2_north(9)(1), West => east_2_west(9)(15), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
1012South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
1013
1014Arbiter_10_2 : Arbiter
1015
1016PORT MAP (Request => Request(122), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
1017South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
1018
1019Arbiter_10_3 : Arbiter
1020
1021PORT MAP (Request => Request(108), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
1022South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
1023
1024Arbiter_10_4 : Arbiter
1025
1026PORT MAP (Request => Request(94), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
1027South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
1028
1029Arbiter_10_5 : Arbiter
1030
1031PORT MAP (Request => Request(80), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
1032South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
1033
1034Arbiter_10_6 : Arbiter
1035
1036PORT MAP (Request => Request(66), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
1037South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
1038
1039Arbiter_10_7 : Arbiter
1040
1041PORT MAP (Request => Request(52), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
1042South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
1043
1044Arbiter_10_8 : Arbiter
1045
1046PORT MAP (Request => Request(38), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
1047South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
1048
1049Arbiter_10_9 : Arbiter
1050
1051PORT MAP (Request => Request(24), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
1052South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
1053
1054Arbiter_10_10 : Arbiter
1055
1056PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
1057South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
1058
1059Arbiter_10_11 : Arbiter
1060
1061PORT MAP (Request => Request(221), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
1062South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
1063
1064Arbiter_10_12 : Arbiter
1065
1066PORT MAP (Request => Request(207), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
1067South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
1068
1069Arbiter_10_13 : Arbiter
1070
1071PORT MAP (Request => Request(193), North => south_2_north(9)(13), West => east_2_west(9)(12), P => Signal_priority(20), Fifo_full => Fifo_full(13), 
1072South => south_2_north(10)(13), East => east_2_west(10)(13) , Grant => Signal_grant(10)(13));
1073
1074Arbiter_10_14 : Arbiter
1075
1076PORT MAP (Request => Request(179), North => south_2_north(9)(14), West => east_2_west(9)(13), P => Signal_priority(20), Fifo_full => Fifo_full(14), 
1077South => south_2_north(10)(14), East => east_2_west(10)(14) , Grant => Signal_grant(10)(14));
1078
1079Arbiter_10_15 : Arbiter
1080
1081PORT MAP (Request => Request(165), North => south_2_north(9)(15), West => east_2_west(9)(14), P => Signal_priority(20), Fifo_full => Fifo_full(15), 
1082South => south_2_north(10)(15), East => east_2_west(10)(15) , Grant => Signal_grant(10)(15));
1083
1084-------------------------- Diagonale n° 11
1085
1086
1087Arbiter_11_1 : Arbiter
1088
1089PORT MAP (Request => Request(151), North => south_2_north(10)(1), West => east_2_west(10)(15), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
1090South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
1091
1092Arbiter_11_2 : Arbiter
1093
1094PORT MAP (Request => Request(137), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
1095South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
1096
1097Arbiter_11_3 : Arbiter
1098
1099PORT MAP (Request => Request(123), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
1100South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
1101
1102Arbiter_11_4 : Arbiter
1103
1104PORT MAP (Request => Request(109), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
1105South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
1106
1107Arbiter_11_5 : Arbiter
1108
1109PORT MAP (Request => Request(95), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
1110South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
1111
1112Arbiter_11_6 : Arbiter
1113
1114PORT MAP (Request => Request(81), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
1115South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
1116
1117Arbiter_11_7 : Arbiter
1118
1119PORT MAP (Request => Request(67), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
1120South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
1121
1122Arbiter_11_8 : Arbiter
1123
1124PORT MAP (Request => Request(53), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
1125South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
1126
1127Arbiter_11_9 : Arbiter
1128
1129PORT MAP (Request => Request(39), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
1130South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
1131
1132Arbiter_11_10 : Arbiter
1133
1134PORT MAP (Request => Request(25), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
1135South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
1136
1137Arbiter_11_11 : Arbiter
1138
1139PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
1140South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
1141
1142Arbiter_11_12 : Arbiter
1143
1144PORT MAP (Request => Request(222), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
1145South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
1146
1147Arbiter_11_13 : Arbiter
1148
1149PORT MAP (Request => Request(208), North => south_2_north(10)(13), West => east_2_west(10)(12), P => Signal_priority(19), Fifo_full => Fifo_full(13), 
1150South => south_2_north(11)(13), East => east_2_west(11)(13) , Grant => Signal_grant(11)(13));
1151
1152Arbiter_11_14 : Arbiter
1153
1154PORT MAP (Request => Request(194), North => south_2_north(10)(14), West => east_2_west(10)(13), P => Signal_priority(19), Fifo_full => Fifo_full(14), 
1155South => south_2_north(11)(14), East => east_2_west(11)(14) , Grant => Signal_grant(11)(14));
1156
1157Arbiter_11_15 : Arbiter
1158
1159PORT MAP (Request => Request(180), North => south_2_north(10)(15), West => east_2_west(10)(14), P => Signal_priority(19), Fifo_full => Fifo_full(15), 
1160South => south_2_north(11)(15), East => east_2_west(11)(15) , Grant => Signal_grant(11)(15));
1161
1162-------------------------- Diagonale n° 12
1163
1164
1165Arbiter_12_1 : Arbiter
1166
1167PORT MAP (Request => Request(166), North => south_2_north(11)(1), West => east_2_west(11)(15), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
1168South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
1169
1170Arbiter_12_2 : Arbiter
1171
1172PORT MAP (Request => Request(152), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
1173South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
1174
1175Arbiter_12_3 : Arbiter
1176
1177PORT MAP (Request => Request(138), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
1178South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
1179
1180Arbiter_12_4 : Arbiter
1181
1182PORT MAP (Request => Request(124), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
1183South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
1184
1185Arbiter_12_5 : Arbiter
1186
1187PORT MAP (Request => Request(110), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
1188South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
1189
1190Arbiter_12_6 : Arbiter
1191
1192PORT MAP (Request => Request(96), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
1193South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
1194
1195Arbiter_12_7 : Arbiter
1196
1197PORT MAP (Request => Request(82), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
1198South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
1199
1200Arbiter_12_8 : Arbiter
1201
1202PORT MAP (Request => Request(68), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
1203South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
1204
1205Arbiter_12_9 : Arbiter
1206
1207PORT MAP (Request => Request(54), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
1208South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
1209
1210Arbiter_12_10 : Arbiter
1211
1212PORT MAP (Request => Request(40), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
1213South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
1214
1215Arbiter_12_11 : Arbiter
1216
1217PORT MAP (Request => Request(26), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
1218South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
1219
1220Arbiter_12_12 : Arbiter
1221
1222PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
1223South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
1224
1225Arbiter_12_13 : Arbiter
1226
1227PORT MAP (Request => Request(223), North => south_2_north(11)(13), West => east_2_west(11)(12), P => Signal_priority(18), Fifo_full => Fifo_full(13), 
1228South => south_2_north(12)(13), East => east_2_west(12)(13) , Grant => Signal_grant(12)(13));
1229
1230Arbiter_12_14 : Arbiter
1231
1232PORT MAP (Request => Request(209), North => south_2_north(11)(14), West => east_2_west(11)(13), P => Signal_priority(18), Fifo_full => Fifo_full(14), 
1233South => south_2_north(12)(14), East => east_2_west(12)(14) , Grant => Signal_grant(12)(14));
1234
1235Arbiter_12_15 : Arbiter
1236
1237PORT MAP (Request => Request(195), North => south_2_north(11)(15), West => east_2_west(11)(14), P => Signal_priority(18), Fifo_full => Fifo_full(15), 
1238South => south_2_north(12)(15), East => east_2_west(12)(15) , Grant => Signal_grant(12)(15));
1239
1240-------------------------- Diagonale n° 13
1241
1242
1243Arbiter_13_1 : Arbiter
1244
1245PORT MAP (Request => Request(181), North => south_2_north(12)(1), West => east_2_west(12)(15), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
1246South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
1247
1248Arbiter_13_2 : Arbiter
1249
1250PORT MAP (Request => Request(167), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
1251South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
1252
1253Arbiter_13_3 : Arbiter
1254
1255PORT MAP (Request => Request(153), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
1256South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
1257
1258Arbiter_13_4 : Arbiter
1259
1260PORT MAP (Request => Request(139), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
1261South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1262
1263Arbiter_13_5 : Arbiter
1264
1265PORT MAP (Request => Request(125), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
1266South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1267
1268Arbiter_13_6 : Arbiter
1269
1270PORT MAP (Request => Request(111), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
1271South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1272
1273Arbiter_13_7 : Arbiter
1274
1275PORT MAP (Request => Request(97), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
1276South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1277
1278Arbiter_13_8 : Arbiter
1279
1280PORT MAP (Request => Request(83), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
1281South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1282
1283Arbiter_13_9 : Arbiter
1284
1285PORT MAP (Request => Request(69), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
1286South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1287
1288Arbiter_13_10 : Arbiter
1289
1290PORT MAP (Request => Request(55), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
1291South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1292
1293Arbiter_13_11 : Arbiter
1294
1295PORT MAP (Request => Request(41), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
1296South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1297
1298Arbiter_13_12 : Arbiter
1299
1300PORT MAP (Request => Request(27), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
1301South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1302
1303Arbiter_13_13 : Arbiter
1304
1305PORT MAP (Request => Request(13), North => south_2_north(12)(13), West => east_2_west(12)(12), P => Signal_priority(17), Fifo_full => Fifo_full(13), 
1306South => south_2_north(13)(13), East => east_2_west(13)(13) , Grant => Signal_grant(13)(13));
1307
1308Arbiter_13_14 : Arbiter
1309
1310PORT MAP (Request => Request(224), North => south_2_north(12)(14), West => east_2_west(12)(13), P => Signal_priority(17), Fifo_full => Fifo_full(14), 
1311South => south_2_north(13)(14), East => east_2_west(13)(14) , Grant => Signal_grant(13)(14));
1312
1313Arbiter_13_15 : Arbiter
1314
1315PORT MAP (Request => Request(210), North => south_2_north(12)(15), West => east_2_west(12)(14), P => Signal_priority(17), Fifo_full => Fifo_full(15), 
1316South => south_2_north(13)(15), East => east_2_west(13)(15) , Grant => Signal_grant(13)(15));
1317
1318-------------------------- Diagonale n° 14
1319
1320
1321Arbiter_14_1 : Arbiter
1322
1323PORT MAP (Request => Request(196), North => south_2_north(13)(1), West => east_2_west(13)(15), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
1324South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1325
1326Arbiter_14_2 : Arbiter
1327
1328PORT MAP (Request => Request(182), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
1329South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1330
1331Arbiter_14_3 : Arbiter
1332
1333PORT MAP (Request => Request(168), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
1334South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1335
1336Arbiter_14_4 : Arbiter
1337
1338PORT MAP (Request => Request(154), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
1339South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1340
1341Arbiter_14_5 : Arbiter
1342
1343PORT MAP (Request => Request(140), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
1344South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1345
1346Arbiter_14_6 : Arbiter
1347
1348PORT MAP (Request => Request(126), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
1349South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1350
1351Arbiter_14_7 : Arbiter
1352
1353PORT MAP (Request => Request(112), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
1354South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1355
1356Arbiter_14_8 : Arbiter
1357
1358PORT MAP (Request => Request(98), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
1359South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1360
1361Arbiter_14_9 : Arbiter
1362
1363PORT MAP (Request => Request(84), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
1364South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1365
1366Arbiter_14_10 : Arbiter
1367
1368PORT MAP (Request => Request(70), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
1369South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1370
1371Arbiter_14_11 : Arbiter
1372
1373PORT MAP (Request => Request(56), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
1374South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1375
1376Arbiter_14_12 : Arbiter
1377
1378PORT MAP (Request => Request(42), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
1379South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1380
1381Arbiter_14_13 : Arbiter
1382
1383PORT MAP (Request => Request(28), North => south_2_north(13)(13), West => east_2_west(13)(12), P => Signal_priority(16), Fifo_full => Fifo_full(13), 
1384South => south_2_north(14)(13), East => east_2_west(14)(13) , Grant => Signal_grant(14)(13));
1385
1386Arbiter_14_14 : Arbiter
1387
1388PORT MAP (Request => Request(14), North => south_2_north(13)(14), West => east_2_west(13)(13), P => Signal_priority(16), Fifo_full => Fifo_full(14), 
1389South => south_2_north(14)(14), East => east_2_west(14)(14) , Grant => Signal_grant(14)(14));
1390
1391Arbiter_14_15 : Arbiter
1392
1393PORT MAP (Request => Request(225), North => south_2_north(13)(15), West => east_2_west(13)(14), P => Signal_priority(16), Fifo_full => Fifo_full(15), 
1394South => south_2_north(14)(15), East => east_2_west(14)(15) , Grant => Signal_grant(14)(15));
1395
1396-------------------------- Diagonale n° 15
1397
1398
1399Arbiter_15_1 : Arbiter
1400
1401PORT MAP (Request => Request(211), North => south_2_north(14)(1), West => east_2_west(14)(15), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
1402South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1403
1404Arbiter_15_2 : Arbiter
1405
1406PORT MAP (Request => Request(197), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
1407South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1408
1409Arbiter_15_3 : Arbiter
1410
1411PORT MAP (Request => Request(183), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
1412South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1413
1414Arbiter_15_4 : Arbiter
1415
1416PORT MAP (Request => Request(169), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
1417South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1418
1419Arbiter_15_5 : Arbiter
1420
1421PORT MAP (Request => Request(155), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
1422South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1423
1424Arbiter_15_6 : Arbiter
1425
1426PORT MAP (Request => Request(141), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
1427South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1428
1429Arbiter_15_7 : Arbiter
1430
1431PORT MAP (Request => Request(127), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
1432South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1433
1434Arbiter_15_8 : Arbiter
1435
1436PORT MAP (Request => Request(113), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
1437South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1438
1439Arbiter_15_9 : Arbiter
1440
1441PORT MAP (Request => Request(99), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
1442South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1443
1444Arbiter_15_10 : Arbiter
1445
1446PORT MAP (Request => Request(85), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
1447South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1448
1449Arbiter_15_11 : Arbiter
1450
1451PORT MAP (Request => Request(71), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
1452South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1453
1454Arbiter_15_12 : Arbiter
1455
1456PORT MAP (Request => Request(57), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
1457South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1458
1459Arbiter_15_13 : Arbiter
1460
1461PORT MAP (Request => Request(43), North => south_2_north(14)(13), West => east_2_west(14)(12), P => Signal_priority(15), Fifo_full => Fifo_full(13), 
1462South => south_2_north(15)(13), East => east_2_west(15)(13) , Grant => Signal_grant(15)(13));
1463
1464Arbiter_15_14 : Arbiter
1465
1466PORT MAP (Request => Request(29), North => south_2_north(14)(14), West => east_2_west(14)(13), P => Signal_priority(15), Fifo_full => Fifo_full(14), 
1467South => south_2_north(15)(14), East => east_2_west(15)(14) , Grant => Signal_grant(15)(14));
1468
1469Arbiter_15_15 : Arbiter
1470
1471PORT MAP (Request => Request(15), North => south_2_north(14)(15), West => east_2_west(14)(14), P => Signal_priority(15), Fifo_full => Fifo_full(15), 
1472South => south_2_north(15)(15), East => east_2_west(15)(15) , Grant => Signal_grant(15)(15));
1473
1474-------------------------- Diagonale n° 16
1475
1476
1477Arbiter_16_1 : Arbiter
1478
1479PORT MAP (Request => Request(1), North => south_2_north(15)(1), West => east_2_west(15)(15), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
1480South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1481
1482Arbiter_16_2 : Arbiter
1483
1484PORT MAP (Request => Request(212), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
1485South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1486
1487Arbiter_16_3 : Arbiter
1488
1489PORT MAP (Request => Request(198), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
1490South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1491
1492Arbiter_16_4 : Arbiter
1493
1494PORT MAP (Request => Request(184), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
1495South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1496
1497Arbiter_16_5 : Arbiter
1498
1499PORT MAP (Request => Request(170), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
1500South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1501
1502Arbiter_16_6 : Arbiter
1503
1504PORT MAP (Request => Request(156), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
1505South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1506
1507Arbiter_16_7 : Arbiter
1508
1509PORT MAP (Request => Request(142), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
1510South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1511
1512Arbiter_16_8 : Arbiter
1513
1514PORT MAP (Request => Request(128), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
1515South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1516
1517Arbiter_16_9 : Arbiter
1518
1519PORT MAP (Request => Request(114), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
1520South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1521
1522Arbiter_16_10 : Arbiter
1523
1524PORT MAP (Request => Request(100), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
1525South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1526
1527Arbiter_16_11 : Arbiter
1528
1529PORT MAP (Request => Request(86), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
1530South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1531
1532Arbiter_16_12 : Arbiter
1533
1534PORT MAP (Request => Request(72), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
1535South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1536
1537Arbiter_16_13 : Arbiter
1538
1539PORT MAP (Request => Request(58), North => south_2_north(15)(13), West => east_2_west(15)(12), P => Signal_priority(14), Fifo_full => Fifo_full(13), 
1540South => south_2_north(16)(13), East => east_2_west(16)(13) , Grant => Signal_grant(16)(13));
1541
1542Arbiter_16_14 : Arbiter
1543
1544PORT MAP (Request => Request(44), North => south_2_north(15)(14), West => east_2_west(15)(13), P => Signal_priority(14), Fifo_full => Fifo_full(14), 
1545South => south_2_north(16)(14), East => east_2_west(16)(14) , Grant => Signal_grant(16)(14));
1546
1547Arbiter_16_15 : Arbiter
1548
1549PORT MAP (Request => Request(30), North => south_2_north(15)(15), West => east_2_west(15)(14), P => Signal_priority(14), Fifo_full => Fifo_full(15), 
1550South => south_2_north(16)(15), East => east_2_west(16)(15) , Grant => Signal_grant(16)(15));
1551
1552-------------------------- Diagonale n° 17
1553
1554
1555Arbiter_17_1 : Arbiter
1556
1557PORT MAP (Request => Request(16), North => south_2_north(16)(1), West => east_2_west(16)(15), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
1558South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1559
1560Arbiter_17_2 : Arbiter
1561
1562PORT MAP (Request => Request(2), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
1563South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1564
1565Arbiter_17_3 : Arbiter
1566
1567PORT MAP (Request => Request(213), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
1568South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1569
1570Arbiter_17_4 : Arbiter
1571
1572PORT MAP (Request => Request(199), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
1573South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1574
1575Arbiter_17_5 : Arbiter
1576
1577PORT MAP (Request => Request(185), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
1578South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1579
1580Arbiter_17_6 : Arbiter
1581
1582PORT MAP (Request => Request(171), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
1583South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1584
1585Arbiter_17_7 : Arbiter
1586
1587PORT MAP (Request => Request(157), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
1588South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1589
1590Arbiter_17_8 : Arbiter
1591
1592PORT MAP (Request => Request(143), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
1593South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1594
1595Arbiter_17_9 : Arbiter
1596
1597PORT MAP (Request => Request(129), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
1598South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1599
1600Arbiter_17_10 : Arbiter
1601
1602PORT MAP (Request => Request(115), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
1603South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1604
1605Arbiter_17_11 : Arbiter
1606
1607PORT MAP (Request => Request(101), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
1608South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1609
1610Arbiter_17_12 : Arbiter
1611
1612PORT MAP (Request => Request(87), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
1613South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1614
1615Arbiter_17_13 : Arbiter
1616
1617PORT MAP (Request => Request(73), North => south_2_north(16)(13), West => east_2_west(16)(12), P => Signal_priority(13), Fifo_full => Fifo_full(13), 
1618South => south_2_north(17)(13), East => east_2_west(17)(13) , Grant => Signal_grant(17)(13));
1619
1620Arbiter_17_14 : Arbiter
1621
1622PORT MAP (Request => Request(59), North => south_2_north(16)(14), West => east_2_west(16)(13), P => Signal_priority(13), Fifo_full => Fifo_full(14), 
1623South => south_2_north(17)(14), East => east_2_west(17)(14) , Grant => Signal_grant(17)(14));
1624
1625Arbiter_17_15 : Arbiter
1626
1627PORT MAP (Request => Request(45), North => south_2_north(16)(15), West => east_2_west(16)(14), P => Signal_priority(13), Fifo_full => Fifo_full(15), 
1628South => south_2_north(17)(15), East => east_2_west(17)(15) , Grant => Signal_grant(17)(15));
1629
1630-------------------------- Diagonale n° 18
1631
1632
1633Arbiter_18_1 : Arbiter
1634
1635PORT MAP (Request => Request(31), North => south_2_north(17)(1), West => east_2_west(17)(15), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
1636South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1637
1638Arbiter_18_2 : Arbiter
1639
1640PORT MAP (Request => Request(17), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
1641South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1642
1643Arbiter_18_3 : Arbiter
1644
1645PORT MAP (Request => Request(3), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
1646South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1647
1648Arbiter_18_4 : Arbiter
1649
1650PORT MAP (Request => Request(214), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
1651South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1652
1653Arbiter_18_5 : Arbiter
1654
1655PORT MAP (Request => Request(200), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
1656South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1657
1658Arbiter_18_6 : Arbiter
1659
1660PORT MAP (Request => Request(186), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
1661South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1662
1663Arbiter_18_7 : Arbiter
1664
1665PORT MAP (Request => Request(172), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
1666South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1667
1668Arbiter_18_8 : Arbiter
1669
1670PORT MAP (Request => Request(158), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
1671South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1672
1673Arbiter_18_9 : Arbiter
1674
1675PORT MAP (Request => Request(144), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
1676South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1677
1678Arbiter_18_10 : Arbiter
1679
1680PORT MAP (Request => Request(130), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
1681South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1682
1683Arbiter_18_11 : Arbiter
1684
1685PORT MAP (Request => Request(116), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
1686South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1687
1688Arbiter_18_12 : Arbiter
1689
1690PORT MAP (Request => Request(102), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
1691South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1692
1693Arbiter_18_13 : Arbiter
1694
1695PORT MAP (Request => Request(88), North => south_2_north(17)(13), West => east_2_west(17)(12), P => Signal_priority(12), Fifo_full => Fifo_full(13), 
1696South => south_2_north(18)(13), East => east_2_west(18)(13) , Grant => Signal_grant(18)(13));
1697
1698Arbiter_18_14 : Arbiter
1699
1700PORT MAP (Request => Request(74), North => south_2_north(17)(14), West => east_2_west(17)(13), P => Signal_priority(12), Fifo_full => Fifo_full(14), 
1701South => south_2_north(18)(14), East => east_2_west(18)(14) , Grant => Signal_grant(18)(14));
1702
1703Arbiter_18_15 : Arbiter
1704
1705PORT MAP (Request => Request(60), North => south_2_north(17)(15), West => east_2_west(17)(14), P => Signal_priority(12), Fifo_full => Fifo_full(15), 
1706South => south_2_north(18)(15), East => east_2_west(18)(15) , Grant => Signal_grant(18)(15));
1707
1708-------------------------- Diagonale n° 19
1709
1710
1711Arbiter_19_1 : Arbiter
1712
1713PORT MAP (Request => Request(46), North => south_2_north(18)(1), West => east_2_west(18)(15), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
1714South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1715
1716Arbiter_19_2 : Arbiter
1717
1718PORT MAP (Request => Request(32), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
1719South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1720
1721Arbiter_19_3 : Arbiter
1722
1723PORT MAP (Request => Request(18), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
1724South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1725
1726Arbiter_19_4 : Arbiter
1727
1728PORT MAP (Request => Request(4), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
1729South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1730
1731Arbiter_19_5 : Arbiter
1732
1733PORT MAP (Request => Request(215), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
1734South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1735
1736Arbiter_19_6 : Arbiter
1737
1738PORT MAP (Request => Request(201), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
1739South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1740
1741Arbiter_19_7 : Arbiter
1742
1743PORT MAP (Request => Request(187), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
1744South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1745
1746Arbiter_19_8 : Arbiter
1747
1748PORT MAP (Request => Request(173), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
1749South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1750
1751Arbiter_19_9 : Arbiter
1752
1753PORT MAP (Request => Request(159), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
1754South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1755
1756Arbiter_19_10 : Arbiter
1757
1758PORT MAP (Request => Request(145), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
1759South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1760
1761Arbiter_19_11 : Arbiter
1762
1763PORT MAP (Request => Request(131), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
1764South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1765
1766Arbiter_19_12 : Arbiter
1767
1768PORT MAP (Request => Request(117), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
1769South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1770
1771Arbiter_19_13 : Arbiter
1772
1773PORT MAP (Request => Request(103), North => south_2_north(18)(13), West => east_2_west(18)(12), P => Signal_priority(11), Fifo_full => Fifo_full(13), 
1774South => south_2_north(19)(13), East => east_2_west(19)(13) , Grant => Signal_grant(19)(13));
1775
1776Arbiter_19_14 : Arbiter
1777
1778PORT MAP (Request => Request(89), North => south_2_north(18)(14), West => east_2_west(18)(13), P => Signal_priority(11), Fifo_full => Fifo_full(14), 
1779South => south_2_north(19)(14), East => east_2_west(19)(14) , Grant => Signal_grant(19)(14));
1780
1781Arbiter_19_15 : Arbiter
1782
1783PORT MAP (Request => Request(75), North => south_2_north(18)(15), West => east_2_west(18)(14), P => Signal_priority(11), Fifo_full => Fifo_full(15), 
1784South => south_2_north(19)(15), East => east_2_west(19)(15) , Grant => Signal_grant(19)(15));
1785
1786-------------------------- Diagonale n° 20
1787
1788
1789Arbiter_20_1 : Arbiter
1790
1791PORT MAP (Request => Request(61), North => south_2_north(19)(1), West => east_2_west(19)(15), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
1792South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1793
1794Arbiter_20_2 : Arbiter
1795
1796PORT MAP (Request => Request(47), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
1797South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1798
1799Arbiter_20_3 : Arbiter
1800
1801PORT MAP (Request => Request(33), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
1802South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1803
1804Arbiter_20_4 : Arbiter
1805
1806PORT MAP (Request => Request(19), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
1807South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1808
1809Arbiter_20_5 : Arbiter
1810
1811PORT MAP (Request => Request(5), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
1812South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1813
1814Arbiter_20_6 : Arbiter
1815
1816PORT MAP (Request => Request(216), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
1817South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1818
1819Arbiter_20_7 : Arbiter
1820
1821PORT MAP (Request => Request(202), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
1822South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1823
1824Arbiter_20_8 : Arbiter
1825
1826PORT MAP (Request => Request(188), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
1827South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1828
1829Arbiter_20_9 : Arbiter
1830
1831PORT MAP (Request => Request(174), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
1832South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1833
1834Arbiter_20_10 : Arbiter
1835
1836PORT MAP (Request => Request(160), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
1837South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1838
1839Arbiter_20_11 : Arbiter
1840
1841PORT MAP (Request => Request(146), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
1842South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1843
1844Arbiter_20_12 : Arbiter
1845
1846PORT MAP (Request => Request(132), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
1847South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1848
1849Arbiter_20_13 : Arbiter
1850
1851PORT MAP (Request => Request(118), North => south_2_north(19)(13), West => east_2_west(19)(12), P => Signal_priority(10), Fifo_full => Fifo_full(13), 
1852South => south_2_north(20)(13), East => east_2_west(20)(13) , Grant => Signal_grant(20)(13));
1853
1854Arbiter_20_14 : Arbiter
1855
1856PORT MAP (Request => Request(104), North => south_2_north(19)(14), West => east_2_west(19)(13), P => Signal_priority(10), Fifo_full => Fifo_full(14), 
1857South => south_2_north(20)(14), East => east_2_west(20)(14) , Grant => Signal_grant(20)(14));
1858
1859Arbiter_20_15 : Arbiter
1860
1861PORT MAP (Request => Request(90), North => south_2_north(19)(15), West => east_2_west(19)(14), P => Signal_priority(10), Fifo_full => Fifo_full(15), 
1862South => south_2_north(20)(15), East => east_2_west(20)(15) , Grant => Signal_grant(20)(15));
1863
1864-------------------------- Diagonale n° 21
1865
1866
1867Arbiter_21_1 : Arbiter
1868
1869PORT MAP (Request => Request(76), North => south_2_north(20)(1), West => east_2_west(20)(15), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
1870South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
1871
1872Arbiter_21_2 : Arbiter
1873
1874PORT MAP (Request => Request(62), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
1875South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
1876
1877Arbiter_21_3 : Arbiter
1878
1879PORT MAP (Request => Request(48), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
1880South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
1881
1882Arbiter_21_4 : Arbiter
1883
1884PORT MAP (Request => Request(34), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
1885South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
1886
1887Arbiter_21_5 : Arbiter
1888
1889PORT MAP (Request => Request(20), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
1890South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
1891
1892Arbiter_21_6 : Arbiter
1893
1894PORT MAP (Request => Request(6), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
1895South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
1896
1897Arbiter_21_7 : Arbiter
1898
1899PORT MAP (Request => Request(217), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
1900South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
1901
1902Arbiter_21_8 : Arbiter
1903
1904PORT MAP (Request => Request(203), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
1905South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
1906
1907Arbiter_21_9 : Arbiter
1908
1909PORT MAP (Request => Request(189), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
1910South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
1911
1912Arbiter_21_10 : Arbiter
1913
1914PORT MAP (Request => Request(175), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
1915South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
1916
1917Arbiter_21_11 : Arbiter
1918
1919PORT MAP (Request => Request(161), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
1920South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
1921
1922Arbiter_21_12 : Arbiter
1923
1924PORT MAP (Request => Request(147), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
1925South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
1926
1927Arbiter_21_13 : Arbiter
1928
1929PORT MAP (Request => Request(133), North => south_2_north(20)(13), West => east_2_west(20)(12), P => Signal_priority(9), Fifo_full => Fifo_full(13), 
1930South => south_2_north(21)(13), East => east_2_west(21)(13) , Grant => Signal_grant(21)(13));
1931
1932Arbiter_21_14 : Arbiter
1933
1934PORT MAP (Request => Request(119), North => south_2_north(20)(14), West => east_2_west(20)(13), P => Signal_priority(9), Fifo_full => Fifo_full(14), 
1935South => south_2_north(21)(14), East => east_2_west(21)(14) , Grant => Signal_grant(21)(14));
1936
1937Arbiter_21_15 : Arbiter
1938
1939PORT MAP (Request => Request(105), North => south_2_north(20)(15), West => east_2_west(20)(14), P => Signal_priority(9), Fifo_full => Fifo_full(15), 
1940South => south_2_north(21)(15), East => east_2_west(21)(15) , Grant => Signal_grant(21)(15));
1941
1942-------------------------- Diagonale n° 22
1943
1944
1945Arbiter_22_1 : Arbiter
1946
1947PORT MAP (Request => Request(91), North => south_2_north(21)(1), West => east_2_west(21)(15), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
1948South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
1949
1950Arbiter_22_2 : Arbiter
1951
1952PORT MAP (Request => Request(77), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
1953South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
1954
1955Arbiter_22_3 : Arbiter
1956
1957PORT MAP (Request => Request(63), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
1958South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
1959
1960Arbiter_22_4 : Arbiter
1961
1962PORT MAP (Request => Request(49), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
1963South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
1964
1965Arbiter_22_5 : Arbiter
1966
1967PORT MAP (Request => Request(35), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
1968South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
1969
1970Arbiter_22_6 : Arbiter
1971
1972PORT MAP (Request => Request(21), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
1973South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
1974
1975Arbiter_22_7 : Arbiter
1976
1977PORT MAP (Request => Request(7), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
1978South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
1979
1980Arbiter_22_8 : Arbiter
1981
1982PORT MAP (Request => Request(218), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
1983South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
1984
1985Arbiter_22_9 : Arbiter
1986
1987PORT MAP (Request => Request(204), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
1988South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
1989
1990Arbiter_22_10 : Arbiter
1991
1992PORT MAP (Request => Request(190), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
1993South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
1994
1995Arbiter_22_11 : Arbiter
1996
1997PORT MAP (Request => Request(176), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
1998South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
1999
2000Arbiter_22_12 : Arbiter
2001
2002PORT MAP (Request => Request(162), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
2003South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
2004
2005Arbiter_22_13 : Arbiter
2006
2007PORT MAP (Request => Request(148), North => south_2_north(21)(13), West => east_2_west(21)(12), P => Signal_priority(8), Fifo_full => Fifo_full(13), 
2008South => south_2_north(22)(13), East => east_2_west(22)(13) , Grant => Signal_grant(22)(13));
2009
2010Arbiter_22_14 : Arbiter
2011
2012PORT MAP (Request => Request(134), North => south_2_north(21)(14), West => east_2_west(21)(13), P => Signal_priority(8), Fifo_full => Fifo_full(14), 
2013South => south_2_north(22)(14), East => east_2_west(22)(14) , Grant => Signal_grant(22)(14));
2014
2015Arbiter_22_15 : Arbiter
2016
2017PORT MAP (Request => Request(120), North => south_2_north(21)(15), West => east_2_west(21)(14), P => Signal_priority(8), Fifo_full => Fifo_full(15), 
2018South => south_2_north(22)(15), East => east_2_west(22)(15) , Grant => Signal_grant(22)(15));
2019
2020-------------------------- Diagonale n° 23
2021
2022
2023Arbiter_23_1 : Arbiter
2024
2025PORT MAP (Request => Request(106), North => south_2_north(22)(1), West => east_2_west(22)(15), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
2026South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
2027
2028Arbiter_23_2 : Arbiter
2029
2030PORT MAP (Request => Request(92), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
2031South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
2032
2033Arbiter_23_3 : Arbiter
2034
2035PORT MAP (Request => Request(78), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
2036South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
2037
2038Arbiter_23_4 : Arbiter
2039
2040PORT MAP (Request => Request(64), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
2041South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
2042
2043Arbiter_23_5 : Arbiter
2044
2045PORT MAP (Request => Request(50), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
2046South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
2047
2048Arbiter_23_6 : Arbiter
2049
2050PORT MAP (Request => Request(36), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
2051South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
2052
2053Arbiter_23_7 : Arbiter
2054
2055PORT MAP (Request => Request(22), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
2056South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
2057
2058Arbiter_23_8 : Arbiter
2059
2060PORT MAP (Request => Request(8), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
2061South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
2062
2063Arbiter_23_9 : Arbiter
2064
2065PORT MAP (Request => Request(219), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
2066South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
2067
2068Arbiter_23_10 : Arbiter
2069
2070PORT MAP (Request => Request(205), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
2071South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
2072
2073Arbiter_23_11 : Arbiter
2074
2075PORT MAP (Request => Request(191), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
2076South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
2077
2078Arbiter_23_12 : Arbiter
2079
2080PORT MAP (Request => Request(177), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
2081South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
2082
2083Arbiter_23_13 : Arbiter
2084
2085PORT MAP (Request => Request(163), North => south_2_north(22)(13), West => east_2_west(22)(12), P => Signal_priority(7), Fifo_full => Fifo_full(13), 
2086South => south_2_north(23)(13), East => east_2_west(23)(13) , Grant => Signal_grant(23)(13));
2087
2088Arbiter_23_14 : Arbiter
2089
2090PORT MAP (Request => Request(149), North => south_2_north(22)(14), West => east_2_west(22)(13), P => Signal_priority(7), Fifo_full => Fifo_full(14), 
2091South => south_2_north(23)(14), East => east_2_west(23)(14) , Grant => Signal_grant(23)(14));
2092
2093Arbiter_23_15 : Arbiter
2094
2095PORT MAP (Request => Request(135), North => south_2_north(22)(15), West => east_2_west(22)(14), P => Signal_priority(7), Fifo_full => Fifo_full(15), 
2096South => south_2_north(23)(15), East => east_2_west(23)(15) , Grant => Signal_grant(23)(15));
2097
2098-------------------------- Diagonale n° 24
2099
2100
2101Arbiter_24_1 : Arbiter
2102
2103PORT MAP (Request => Request(121), North => south_2_north(23)(1), West => east_2_west(23)(15), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
2104South => south_2_north(24)(1), East => east_2_west(24)(1) , Grant => Signal_grant(24)(1));
2105
2106Arbiter_24_2 : Arbiter
2107
2108PORT MAP (Request => Request(107), North => south_2_north(23)(2), West => east_2_west(23)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
2109South => south_2_north(24)(2), East => east_2_west(24)(2) , Grant => Signal_grant(24)(2));
2110
2111Arbiter_24_3 : Arbiter
2112
2113PORT MAP (Request => Request(93), North => south_2_north(23)(3), West => east_2_west(23)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
2114South => south_2_north(24)(3), East => east_2_west(24)(3) , Grant => Signal_grant(24)(3));
2115
2116Arbiter_24_4 : Arbiter
2117
2118PORT MAP (Request => Request(79), North => south_2_north(23)(4), West => east_2_west(23)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
2119South => south_2_north(24)(4), East => east_2_west(24)(4) , Grant => Signal_grant(24)(4));
2120
2121Arbiter_24_5 : Arbiter
2122
2123PORT MAP (Request => Request(65), North => south_2_north(23)(5), West => east_2_west(23)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
2124South => south_2_north(24)(5), East => east_2_west(24)(5) , Grant => Signal_grant(24)(5));
2125
2126Arbiter_24_6 : Arbiter
2127
2128PORT MAP (Request => Request(51), North => south_2_north(23)(6), West => east_2_west(23)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
2129South => south_2_north(24)(6), East => east_2_west(24)(6) , Grant => Signal_grant(24)(6));
2130
2131Arbiter_24_7 : Arbiter
2132
2133PORT MAP (Request => Request(37), North => south_2_north(23)(7), West => east_2_west(23)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
2134South => south_2_north(24)(7), East => east_2_west(24)(7) , Grant => Signal_grant(24)(7));
2135
2136Arbiter_24_8 : Arbiter
2137
2138PORT MAP (Request => Request(23), North => south_2_north(23)(8), West => east_2_west(23)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
2139South => south_2_north(24)(8), East => east_2_west(24)(8) , Grant => Signal_grant(24)(8));
2140
2141Arbiter_24_9 : Arbiter
2142
2143PORT MAP (Request => Request(9), North => south_2_north(23)(9), West => east_2_west(23)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
2144South => south_2_north(24)(9), East => east_2_west(24)(9) , Grant => Signal_grant(24)(9));
2145
2146Arbiter_24_10 : Arbiter
2147
2148PORT MAP (Request => Request(220), North => south_2_north(23)(10), West => east_2_west(23)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
2149South => south_2_north(24)(10), East => east_2_west(24)(10) , Grant => Signal_grant(24)(10));
2150
2151Arbiter_24_11 : Arbiter
2152
2153PORT MAP (Request => Request(206), North => south_2_north(23)(11), West => east_2_west(23)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
2154South => south_2_north(24)(11), East => east_2_west(24)(11) , Grant => Signal_grant(24)(11));
2155
2156Arbiter_24_12 : Arbiter
2157
2158PORT MAP (Request => Request(192), North => south_2_north(23)(12), West => east_2_west(23)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
2159South => south_2_north(24)(12), East => east_2_west(24)(12) , Grant => Signal_grant(24)(12));
2160
2161Arbiter_24_13 : Arbiter
2162
2163PORT MAP (Request => Request(178), North => south_2_north(23)(13), West => east_2_west(23)(12), P => Signal_priority(6), Fifo_full => Fifo_full(13), 
2164South => south_2_north(24)(13), East => east_2_west(24)(13) , Grant => Signal_grant(24)(13));
2165
2166Arbiter_24_14 : Arbiter
2167
2168PORT MAP (Request => Request(164), North => south_2_north(23)(14), West => east_2_west(23)(13), P => Signal_priority(6), Fifo_full => Fifo_full(14), 
2169South => south_2_north(24)(14), East => east_2_west(24)(14) , Grant => Signal_grant(24)(14));
2170
2171Arbiter_24_15 : Arbiter
2172
2173PORT MAP (Request => Request(150), North => south_2_north(23)(15), West => east_2_west(23)(14), P => Signal_priority(6), Fifo_full => Fifo_full(15), 
2174South => south_2_north(24)(15), East => east_2_west(24)(15) , Grant => Signal_grant(24)(15));
2175
2176-------------------------- Diagonale n° 25
2177
2178
2179Arbiter_25_1 : Arbiter
2180
2181PORT MAP (Request => Request(136), North => south_2_north(24)(1), West => east_2_west(24)(15), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
2182South => south_2_north(25)(1), East => east_2_west(25)(1) , Grant => Signal_grant(25)(1));
2183
2184Arbiter_25_2 : Arbiter
2185
2186PORT MAP (Request => Request(122), North => south_2_north(24)(2), West => east_2_west(24)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
2187South => south_2_north(25)(2), East => east_2_west(25)(2) , Grant => Signal_grant(25)(2));
2188
2189Arbiter_25_3 : Arbiter
2190
2191PORT MAP (Request => Request(108), North => south_2_north(24)(3), West => east_2_west(24)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
2192South => south_2_north(25)(3), East => east_2_west(25)(3) , Grant => Signal_grant(25)(3));
2193
2194Arbiter_25_4 : Arbiter
2195
2196PORT MAP (Request => Request(94), North => south_2_north(24)(4), West => east_2_west(24)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
2197South => south_2_north(25)(4), East => east_2_west(25)(4) , Grant => Signal_grant(25)(4));
2198
2199Arbiter_25_5 : Arbiter
2200
2201PORT MAP (Request => Request(80), North => south_2_north(24)(5), West => east_2_west(24)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
2202South => south_2_north(25)(5), East => east_2_west(25)(5) , Grant => Signal_grant(25)(5));
2203
2204Arbiter_25_6 : Arbiter
2205
2206PORT MAP (Request => Request(66), North => south_2_north(24)(6), West => east_2_west(24)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
2207South => south_2_north(25)(6), East => east_2_west(25)(6) , Grant => Signal_grant(25)(6));
2208
2209Arbiter_25_7 : Arbiter
2210
2211PORT MAP (Request => Request(52), North => south_2_north(24)(7), West => east_2_west(24)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
2212South => south_2_north(25)(7), East => east_2_west(25)(7) , Grant => Signal_grant(25)(7));
2213
2214Arbiter_25_8 : Arbiter
2215
2216PORT MAP (Request => Request(38), North => south_2_north(24)(8), West => east_2_west(24)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
2217South => south_2_north(25)(8), East => east_2_west(25)(8) , Grant => Signal_grant(25)(8));
2218
2219Arbiter_25_9 : Arbiter
2220
2221PORT MAP (Request => Request(24), North => south_2_north(24)(9), West => east_2_west(24)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
2222South => south_2_north(25)(9), East => east_2_west(25)(9) , Grant => Signal_grant(25)(9));
2223
2224Arbiter_25_10 : Arbiter
2225
2226PORT MAP (Request => Request(10), North => south_2_north(24)(10), West => east_2_west(24)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
2227South => south_2_north(25)(10), East => east_2_west(25)(10) , Grant => Signal_grant(25)(10));
2228
2229Arbiter_25_11 : Arbiter
2230
2231PORT MAP (Request => Request(221), North => south_2_north(24)(11), West => east_2_west(24)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
2232South => south_2_north(25)(11), East => east_2_west(25)(11) , Grant => Signal_grant(25)(11));
2233
2234Arbiter_25_12 : Arbiter
2235
2236PORT MAP (Request => Request(207), North => south_2_north(24)(12), West => east_2_west(24)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
2237South => south_2_north(25)(12), East => east_2_west(25)(12) , Grant => Signal_grant(25)(12));
2238
2239Arbiter_25_13 : Arbiter
2240
2241PORT MAP (Request => Request(193), North => south_2_north(24)(13), West => east_2_west(24)(12), P => Signal_priority(5), Fifo_full => Fifo_full(13), 
2242South => south_2_north(25)(13), East => east_2_west(25)(13) , Grant => Signal_grant(25)(13));
2243
2244Arbiter_25_14 : Arbiter
2245
2246PORT MAP (Request => Request(179), North => south_2_north(24)(14), West => east_2_west(24)(13), P => Signal_priority(5), Fifo_full => Fifo_full(14), 
2247South => south_2_north(25)(14), East => east_2_west(25)(14) , Grant => Signal_grant(25)(14));
2248
2249Arbiter_25_15 : Arbiter
2250
2251PORT MAP (Request => Request(165), North => south_2_north(24)(15), West => east_2_west(24)(14), P => Signal_priority(5), Fifo_full => Fifo_full(15), 
2252South => south_2_north(25)(15), East => east_2_west(25)(15) , Grant => Signal_grant(25)(15));
2253
2254-------------------------- Diagonale n° 26
2255
2256
2257Arbiter_26_1 : Arbiter
2258
2259PORT MAP (Request => Request(151), North => south_2_north(25)(1), West => east_2_west(25)(15), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
2260South => south_2_north(26)(1), East => east_2_west(26)(1) , Grant => Signal_grant(26)(1));
2261
2262Arbiter_26_2 : Arbiter
2263
2264PORT MAP (Request => Request(137), North => south_2_north(25)(2), West => east_2_west(25)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
2265South => south_2_north(26)(2), East => east_2_west(26)(2) , Grant => Signal_grant(26)(2));
2266
2267Arbiter_26_3 : Arbiter
2268
2269PORT MAP (Request => Request(123), North => south_2_north(25)(3), West => east_2_west(25)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
2270South => south_2_north(26)(3), East => east_2_west(26)(3) , Grant => Signal_grant(26)(3));
2271
2272Arbiter_26_4 : Arbiter
2273
2274PORT MAP (Request => Request(109), North => south_2_north(25)(4), West => east_2_west(25)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
2275South => south_2_north(26)(4), East => east_2_west(26)(4) , Grant => Signal_grant(26)(4));
2276
2277Arbiter_26_5 : Arbiter
2278
2279PORT MAP (Request => Request(95), North => south_2_north(25)(5), West => east_2_west(25)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
2280South => south_2_north(26)(5), East => east_2_west(26)(5) , Grant => Signal_grant(26)(5));
2281
2282Arbiter_26_6 : Arbiter
2283
2284PORT MAP (Request => Request(81), North => south_2_north(25)(6), West => east_2_west(25)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
2285South => south_2_north(26)(6), East => east_2_west(26)(6) , Grant => Signal_grant(26)(6));
2286
2287Arbiter_26_7 : Arbiter
2288
2289PORT MAP (Request => Request(67), North => south_2_north(25)(7), West => east_2_west(25)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
2290South => south_2_north(26)(7), East => east_2_west(26)(7) , Grant => Signal_grant(26)(7));
2291
2292Arbiter_26_8 : Arbiter
2293
2294PORT MAP (Request => Request(53), North => south_2_north(25)(8), West => east_2_west(25)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
2295South => south_2_north(26)(8), East => east_2_west(26)(8) , Grant => Signal_grant(26)(8));
2296
2297Arbiter_26_9 : Arbiter
2298
2299PORT MAP (Request => Request(39), North => south_2_north(25)(9), West => east_2_west(25)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
2300South => south_2_north(26)(9), East => east_2_west(26)(9) , Grant => Signal_grant(26)(9));
2301
2302Arbiter_26_10 : Arbiter
2303
2304PORT MAP (Request => Request(25), North => south_2_north(25)(10), West => east_2_west(25)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
2305South => south_2_north(26)(10), East => east_2_west(26)(10) , Grant => Signal_grant(26)(10));
2306
2307Arbiter_26_11 : Arbiter
2308
2309PORT MAP (Request => Request(11), North => south_2_north(25)(11), West => east_2_west(25)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
2310South => south_2_north(26)(11), East => east_2_west(26)(11) , Grant => Signal_grant(26)(11));
2311
2312Arbiter_26_12 : Arbiter
2313
2314PORT MAP (Request => Request(222), North => south_2_north(25)(12), West => east_2_west(25)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
2315South => south_2_north(26)(12), East => east_2_west(26)(12) , Grant => Signal_grant(26)(12));
2316
2317Arbiter_26_13 : Arbiter
2318
2319PORT MAP (Request => Request(208), North => south_2_north(25)(13), West => east_2_west(25)(12), P => Signal_priority(4), Fifo_full => Fifo_full(13), 
2320South => south_2_north(26)(13), East => east_2_west(26)(13) , Grant => Signal_grant(26)(13));
2321
2322Arbiter_26_14 : Arbiter
2323
2324PORT MAP (Request => Request(194), North => south_2_north(25)(14), West => east_2_west(25)(13), P => Signal_priority(4), Fifo_full => Fifo_full(14), 
2325South => south_2_north(26)(14), East => east_2_west(26)(14) , Grant => Signal_grant(26)(14));
2326
2327Arbiter_26_15 : Arbiter
2328
2329PORT MAP (Request => Request(180), North => south_2_north(25)(15), West => east_2_west(25)(14), P => Signal_priority(4), Fifo_full => Fifo_full(15), 
2330South => south_2_north(26)(15), East => east_2_west(26)(15) , Grant => Signal_grant(26)(15));
2331
2332-------------------------- Diagonale n° 27
2333
2334
2335Arbiter_27_1 : Arbiter
2336
2337PORT MAP (Request => Request(166), North => south_2_north(26)(1), West => east_2_west(26)(15), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
2338South => south_2_north(27)(1), East => east_2_west(27)(1) , Grant => Signal_grant(27)(1));
2339
2340Arbiter_27_2 : Arbiter
2341
2342PORT MAP (Request => Request(152), North => south_2_north(26)(2), West => east_2_west(26)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
2343South => south_2_north(27)(2), East => east_2_west(27)(2) , Grant => Signal_grant(27)(2));
2344
2345Arbiter_27_3 : Arbiter
2346
2347PORT MAP (Request => Request(138), North => south_2_north(26)(3), West => east_2_west(26)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
2348South => south_2_north(27)(3), East => east_2_west(27)(3) , Grant => Signal_grant(27)(3));
2349
2350Arbiter_27_4 : Arbiter
2351
2352PORT MAP (Request => Request(124), North => south_2_north(26)(4), West => east_2_west(26)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
2353South => south_2_north(27)(4), East => east_2_west(27)(4) , Grant => Signal_grant(27)(4));
2354
2355Arbiter_27_5 : Arbiter
2356
2357PORT MAP (Request => Request(110), North => south_2_north(26)(5), West => east_2_west(26)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
2358South => south_2_north(27)(5), East => east_2_west(27)(5) , Grant => Signal_grant(27)(5));
2359
2360Arbiter_27_6 : Arbiter
2361
2362PORT MAP (Request => Request(96), North => south_2_north(26)(6), West => east_2_west(26)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
2363South => south_2_north(27)(6), East => east_2_west(27)(6) , Grant => Signal_grant(27)(6));
2364
2365Arbiter_27_7 : Arbiter
2366
2367PORT MAP (Request => Request(82), North => south_2_north(26)(7), West => east_2_west(26)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
2368South => south_2_north(27)(7), East => east_2_west(27)(7) , Grant => Signal_grant(27)(7));
2369
2370Arbiter_27_8 : Arbiter
2371
2372PORT MAP (Request => Request(68), North => south_2_north(26)(8), West => east_2_west(26)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
2373South => south_2_north(27)(8), East => east_2_west(27)(8) , Grant => Signal_grant(27)(8));
2374
2375Arbiter_27_9 : Arbiter
2376
2377PORT MAP (Request => Request(54), North => south_2_north(26)(9), West => east_2_west(26)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
2378South => south_2_north(27)(9), East => east_2_west(27)(9) , Grant => Signal_grant(27)(9));
2379
2380Arbiter_27_10 : Arbiter
2381
2382PORT MAP (Request => Request(40), North => south_2_north(26)(10), West => east_2_west(26)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
2383South => south_2_north(27)(10), East => east_2_west(27)(10) , Grant => Signal_grant(27)(10));
2384
2385Arbiter_27_11 : Arbiter
2386
2387PORT MAP (Request => Request(26), North => south_2_north(26)(11), West => east_2_west(26)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
2388South => south_2_north(27)(11), East => east_2_west(27)(11) , Grant => Signal_grant(27)(11));
2389
2390Arbiter_27_12 : Arbiter
2391
2392PORT MAP (Request => Request(12), North => south_2_north(26)(12), West => east_2_west(26)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
2393South => south_2_north(27)(12), East => east_2_west(27)(12) , Grant => Signal_grant(27)(12));
2394
2395Arbiter_27_13 : Arbiter
2396
2397PORT MAP (Request => Request(223), North => south_2_north(26)(13), West => east_2_west(26)(12), P => Signal_priority(3), Fifo_full => Fifo_full(13), 
2398South => south_2_north(27)(13), East => east_2_west(27)(13) , Grant => Signal_grant(27)(13));
2399
2400Arbiter_27_14 : Arbiter
2401
2402PORT MAP (Request => Request(209), North => south_2_north(26)(14), West => east_2_west(26)(13), P => Signal_priority(3), Fifo_full => Fifo_full(14), 
2403South => south_2_north(27)(14), East => east_2_west(27)(14) , Grant => Signal_grant(27)(14));
2404
2405Arbiter_27_15 : Arbiter
2406
2407PORT MAP (Request => Request(195), North => south_2_north(26)(15), West => east_2_west(26)(14), P => Signal_priority(3), Fifo_full => Fifo_full(15), 
2408South => south_2_north(27)(15), East => east_2_west(27)(15) , Grant => Signal_grant(27)(15));
2409
2410-------------------------- Diagonale n° 28
2411
2412
2413Arbiter_28_1 : Arbiter
2414
2415PORT MAP (Request => Request(181), North => south_2_north(27)(1), West => east_2_west(27)(15), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
2416South => south_2_north(28)(1), East => east_2_west(28)(1) , Grant => Signal_grant(28)(1));
2417
2418Arbiter_28_2 : Arbiter
2419
2420PORT MAP (Request => Request(167), North => south_2_north(27)(2), West => east_2_west(27)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
2421South => south_2_north(28)(2), East => east_2_west(28)(2) , Grant => Signal_grant(28)(2));
2422
2423Arbiter_28_3 : Arbiter
2424
2425PORT MAP (Request => Request(153), North => south_2_north(27)(3), West => east_2_west(27)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
2426South => south_2_north(28)(3), East => east_2_west(28)(3) , Grant => Signal_grant(28)(3));
2427
2428Arbiter_28_4 : Arbiter
2429
2430PORT MAP (Request => Request(139), North => south_2_north(27)(4), West => east_2_west(27)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
2431South => south_2_north(28)(4), East => east_2_west(28)(4) , Grant => Signal_grant(28)(4));
2432
2433Arbiter_28_5 : Arbiter
2434
2435PORT MAP (Request => Request(125), North => south_2_north(27)(5), West => east_2_west(27)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
2436South => south_2_north(28)(5), East => east_2_west(28)(5) , Grant => Signal_grant(28)(5));
2437
2438Arbiter_28_6 : Arbiter
2439
2440PORT MAP (Request => Request(111), North => south_2_north(27)(6), West => east_2_west(27)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
2441South => south_2_north(28)(6), East => east_2_west(28)(6) , Grant => Signal_grant(28)(6));
2442
2443Arbiter_28_7 : Arbiter
2444
2445PORT MAP (Request => Request(97), North => south_2_north(27)(7), West => east_2_west(27)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
2446South => south_2_north(28)(7), East => east_2_west(28)(7) , Grant => Signal_grant(28)(7));
2447
2448Arbiter_28_8 : Arbiter
2449
2450PORT MAP (Request => Request(83), North => south_2_north(27)(8), West => east_2_west(27)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
2451South => south_2_north(28)(8), East => east_2_west(28)(8) , Grant => Signal_grant(28)(8));
2452
2453Arbiter_28_9 : Arbiter
2454
2455PORT MAP (Request => Request(69), North => south_2_north(27)(9), West => east_2_west(27)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
2456South => south_2_north(28)(9), East => east_2_west(28)(9) , Grant => Signal_grant(28)(9));
2457
2458Arbiter_28_10 : Arbiter
2459
2460PORT MAP (Request => Request(55), North => south_2_north(27)(10), West => east_2_west(27)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
2461South => south_2_north(28)(10), East => east_2_west(28)(10) , Grant => Signal_grant(28)(10));
2462
2463Arbiter_28_11 : Arbiter
2464
2465PORT MAP (Request => Request(41), North => south_2_north(27)(11), West => east_2_west(27)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
2466South => south_2_north(28)(11), East => east_2_west(28)(11) , Grant => Signal_grant(28)(11));
2467
2468Arbiter_28_12 : Arbiter
2469
2470PORT MAP (Request => Request(27), North => south_2_north(27)(12), West => east_2_west(27)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
2471South => south_2_north(28)(12), East => east_2_west(28)(12) , Grant => Signal_grant(28)(12));
2472
2473Arbiter_28_13 : Arbiter
2474
2475PORT MAP (Request => Request(13), North => south_2_north(27)(13), West => east_2_west(27)(12), P => Signal_priority(2), Fifo_full => Fifo_full(13), 
2476South => south_2_north(28)(13), East => east_2_west(28)(13) , Grant => Signal_grant(28)(13));
2477
2478Arbiter_28_14 : Arbiter
2479
2480PORT MAP (Request => Request(224), North => south_2_north(27)(14), West => east_2_west(27)(13), P => Signal_priority(2), Fifo_full => Fifo_full(14), 
2481South => south_2_north(28)(14), East => east_2_west(28)(14) , Grant => Signal_grant(28)(14));
2482
2483Arbiter_28_15 : Arbiter
2484
2485PORT MAP (Request => Request(210), North => south_2_north(27)(15), West => east_2_west(27)(14), P => Signal_priority(2), Fifo_full => Fifo_full(15), 
2486South => south_2_north(28)(15), East => east_2_west(28)(15) , Grant => Signal_grant(28)(15));
2487
2488-------------------------- Diagonale n° 29
2489
2490
2491Arbiter_29_1 : Arbiter
2492
2493PORT MAP (Request => Request(196), North => south_2_north(28)(1), West => east_2_west(28)(15), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
2494South => south_2_north(29)(1), East => east_2_west(29)(1) , Grant => Signal_grant(29)(1));
2495
2496Arbiter_29_2 : Arbiter
2497
2498PORT MAP (Request => Request(182), North => south_2_north(28)(2), West => east_2_west(28)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
2499South => south_2_north(29)(2), East => east_2_west(29)(2) , Grant => Signal_grant(29)(2));
2500
2501Arbiter_29_3 : Arbiter
2502
2503PORT MAP (Request => Request(168), North => south_2_north(28)(3), West => east_2_west(28)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
2504South => south_2_north(29)(3), East => east_2_west(29)(3) , Grant => Signal_grant(29)(3));
2505
2506Arbiter_29_4 : Arbiter
2507
2508PORT MAP (Request => Request(154), North => south_2_north(28)(4), West => east_2_west(28)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
2509South => south_2_north(29)(4), East => east_2_west(29)(4) , Grant => Signal_grant(29)(4));
2510
2511Arbiter_29_5 : Arbiter
2512
2513PORT MAP (Request => Request(140), North => south_2_north(28)(5), West => east_2_west(28)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
2514South => south_2_north(29)(5), East => east_2_west(29)(5) , Grant => Signal_grant(29)(5));
2515
2516Arbiter_29_6 : Arbiter
2517
2518PORT MAP (Request => Request(126), North => south_2_north(28)(6), West => east_2_west(28)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
2519South => south_2_north(29)(6), East => east_2_west(29)(6) , Grant => Signal_grant(29)(6));
2520
2521Arbiter_29_7 : Arbiter
2522
2523PORT MAP (Request => Request(112), North => south_2_north(28)(7), West => east_2_west(28)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
2524South => south_2_north(29)(7), East => east_2_west(29)(7) , Grant => Signal_grant(29)(7));
2525
2526Arbiter_29_8 : Arbiter
2527
2528PORT MAP (Request => Request(98), North => south_2_north(28)(8), West => east_2_west(28)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
2529South => south_2_north(29)(8), East => east_2_west(29)(8) , Grant => Signal_grant(29)(8));
2530
2531Arbiter_29_9 : Arbiter
2532
2533PORT MAP (Request => Request(84), North => south_2_north(28)(9), West => east_2_west(28)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
2534South => south_2_north(29)(9), East => east_2_west(29)(9) , Grant => Signal_grant(29)(9));
2535
2536Arbiter_29_10 : Arbiter
2537
2538PORT MAP (Request => Request(70), North => south_2_north(28)(10), West => east_2_west(28)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
2539South => south_2_north(29)(10), East => east_2_west(29)(10) , Grant => Signal_grant(29)(10));
2540
2541Arbiter_29_11 : Arbiter
2542
2543PORT MAP (Request => Request(56), North => south_2_north(28)(11), West => east_2_west(28)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
2544South => south_2_north(29)(11), East => east_2_west(29)(11) , Grant => Signal_grant(29)(11));
2545
2546Arbiter_29_12 : Arbiter
2547
2548PORT MAP (Request => Request(42), North => south_2_north(28)(12), West => east_2_west(28)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
2549South => south_2_north(29)(12), East => east_2_west(29)(12) , Grant => Signal_grant(29)(12));
2550
2551Arbiter_29_13 : Arbiter
2552
2553PORT MAP (Request => Request(28), North => south_2_north(28)(13), West => east_2_west(28)(12), P => Signal_priority(1), Fifo_full => Fifo_full(13), 
2554South => south_2_north(29)(13), East => east_2_west(29)(13) , Grant => Signal_grant(29)(13));
2555
2556Arbiter_29_14 : Arbiter
2557
2558PORT MAP (Request => Request(14), North => south_2_north(28)(14), West => east_2_west(28)(13), P => Signal_priority(1), Fifo_full => Fifo_full(14), 
2559South => south_2_north(29)(14), East => east_2_west(29)(14) , Grant => Signal_grant(29)(14));
2560
2561Arbiter_29_15 : Arbiter
2562
2563PORT MAP (Request => Request(225), North => south_2_north(28)(15), West => east_2_west(28)(14), P => Signal_priority(1), Fifo_full => Fifo_full(15), 
2564South => south_2_north(29)(15), East => east_2_west(29)(15) , Grant => Signal_grant(29)(15));
2565
2566
2567--processus permettant de roter la priorité des diagonales à chaque front d'horloge
2568 -- rotation round robin
2569         round_robin : process(clk)
2570        begin
2571                if rising_edge(clk) then
2572                 if reset ='1' then
2573                    Signal_priority <= "11111111111111100000000000000";
2574                  elsif priority_rotation_en = '1' then
2575                    case Signal_priority is
2576                       when "11111111111111100000000000000" => Signal_priority <= "01111111111111110000000000000";
2577                       when "01111111111111110000000000000" => Signal_priority <= "00111111111111111000000000000";
2578                       when "00111111111111111000000000000" => Signal_priority <= "00011111111111111100000000000";
2579                       when "00011111111111111100000000000" => Signal_priority <= "00001111111111111110000000000";
2580                       when "00001111111111111110000000000" => Signal_priority <= "00000111111111111111000000000";
2581                       when "00000111111111111111000000000" => Signal_priority <= "00000011111111111111100000000";
2582                       when "00000011111111111111100000000" => Signal_priority <= "00000001111111111111110000000";
2583                       when "00000001111111111111110000000" => Signal_priority <= "00000000111111111111111000000";
2584                       when "00000000111111111111111000000" => Signal_priority <= "00000000011111111111111100000";
2585                       when "00000000011111111111111100000" => Signal_priority <= "00000000001111111111111110000";
2586                       when "00000000001111111111111110000" => Signal_priority <= "00000000000111111111111111000";
2587                       when "00000000000111111111111111000" => Signal_priority <= "00000000000011111111111111100";
2588                       when "00000000000011111111111111100" => Signal_priority <= "00000000000001111111111111110";
2589                       when "00000000000001111111111111110" => Signal_priority <= "00000000000000111111111111111";
2590                       when "00000000000000111111111111111" => Signal_priority <= "11111111111111100000000000000";
2591                       when others    => Signal_priority <= "11111111111111100000000000000";
2592                  end case;
2593                 end if;
2594             end if;
2595         end process;
2596
2597end Behavioral;
2598
Note: See TracBrowser for help on using the repository browser.