source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/NOC/SCHEDULER4_4.VHD @ 101

Last change on this file since 101 was 101, checked in by rolagamo, 10 years ago
File size: 13.0 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler4_4 is
32    Port ( Req : in  STD_LOGIC_VECTOR (16 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (4 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (4 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (16 downto 1));
38end Scheduler4_4;
39
40architecture Behavioral of Scheduler4_4 is
41--déclaration de constantes
42Constant NB_IO : positive:=4; --le nombre de ports d'entrée/sortie
43--Declaration du types
44--tableau de signaux de connexion des cellules arbitres
45TYPE C_Bar_Signal_Array IS ARRAY(7 downto 1) of STD_LOGIC_VECTOR(NB_IO downto 1);
46-- declaration du composant cellule d'arbitrage
47Component Arbiter
48  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
49        Grant,East,South : out  STD_LOGIC );
50End Component;--Signaux de connexion des cellues
51
52constant NB_IO2 :positive:=NB_IO**2; -- le carré du nombre de ports d'E/S
53
54SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
55SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
56SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
57SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
58SIGNAL Signal_priority  : STD_LOGIC_VECTOR (7 DOWNTO 1);--signal pour la connection des vecteur de priorité
59SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
60 Signal Fifo_out_full :  STD_LOGIC_VECTOR (NB_IO downto 1);
61 signal grant_latch : std_logic_vector(NB_IO2 downto 1);
62 signal priority_rotation_en : std_logic;
63signal Grant,request,req_grant,Grant_bak :  std_logic_vector(NB_IO2 downto 1):=(others=>'0');
64 signal Mreq :  std_logic_vector(NB_IO2 downto 1):=(others=>'1');
65
66 begin
67
68--validation de la rotation de priorité lorsque aucun port n'emet
69 req_grant<=(req and grant );
70 priority_rotation_en <= '1' when   unsigned(priority_rotation) = 2**NB_IO-1 else       '0';
71request<=req and mreq;
72--latch  qui memorise le signal grant pendant la transmission
73grant_latch_process : process(clk)
74  begin
75  if rising_edge(clk) then
76   if reset = '1' then
77                grant_latch <= (others => '0');
78                Fifo_out_full<=(others => '0');
79         elsif priority_rotation_en = '1' or unsigned(req_grant)=0 then
80           grant_latch <= Grant;
81           Fifo_out_full<=fifo_full;
82  else
83         grant_latch <= Grant;
84         Fifo_out_full<=fifo_full;
85   end if;
86   end if;
87
88 end process;
89 def_mreq: process(grant_latch,fifo_full)
90
91variable t:std_logic_vector(NB_IO2 downto 1):=(others=>'0');
92begin
93   
94  for i in 0 to NB_IO2-1 loop
95    t(i+1):='0';
96    --sur le front montant de fifo_full sauver l'état Grant courant
97    if fifo_full(i mod NB_IO+1)='1' and  fifo_out_full(i mod NB_IO+1)='0' then
98      Grant_bak(i+1)<= grant_latch(i+1);
99    elsif fifo_full(i mod NB_IO+1)='0' and  fifo_out_full(i mod NB_IO+1)='0'  then
100      Grant_bak(i+1)<='0';
101    end if;
102  for j in 0 to NB_IO-1 loop
103    t(i+1):=t(i+1) or grant_latch(j*NB_IO+1+i mod NB_IO) or fifo_out_full(i mod NB_IO+1);
104   
105  end loop;
106      mreq(i+1)<=not(t(i+1) ) or grant_latch(i+1)or grant_bak(i+1);   
107  end loop;
108end process;
109 port_grant <=  grant;
110 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(5)(1); --  Grant(1,1)
111Grant(2)  <= Signal_grant(2)(2) or Signal_grant(6)(2); --  Grant(1,2)
112Grant(3)  <= Signal_grant(3)(3) or Signal_grant(7)(3); --  Grant(1,3)
113Grant(4)  <= Signal_grant(4)(4) ;                      --  Grant(1,4)
114Grant(5)  <= Signal_grant(2)(1) or Signal_grant(6)(1); --  Grant(2,1)
115Grant(6)  <= Signal_grant(3)(2) or Signal_grant(7)(2); --  Grant(2,2)
116Grant(7)  <= Signal_grant(4)(3) ;                      --  Grant(2,3)
117Grant(8)  <= Signal_grant(1)(4) or Signal_grant(5)(4); --  Grant(2,4)
118Grant(9)  <= Signal_grant(3)(1) or Signal_grant(7)(1); --  Grant(3,1)
119Grant(10)  <= Signal_grant(4)(2) ;                      --  Grant(3,2)
120Grant(11)  <= Signal_grant(1)(3) or Signal_grant(5)(3); --  Grant(3,3)
121Grant(12)  <= Signal_grant(2)(4) or Signal_grant(6)(4); --  Grant(3,4)
122Grant(13)  <= Signal_grant(4)(1) ;                      --  Grant(4,1)
123Grant(14)  <= Signal_grant(1)(2) or Signal_grant(5)(2); --  Grant(4,2)
124Grant(15)  <= Signal_grant(2)(3) or Signal_grant(6)(3); --  Grant(4,3)
125Grant(16)  <= Signal_grant(3)(4) or Signal_grant(7)(4); --  Grant(4,4)
126High <= '1';
127
128----instantiations des cellules arbitres et interconnection
129
130-------------------------- Diagonale n° 1
131
132
133Arbiter_1_1 : Arbiter
134
135PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(7), Fifo_full => Fifo_full(1), 
136South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
137
138Arbiter_1_2 : Arbiter
139
140PORT MAP (Request => Request(14), North => High, West => High, P => Signal_priority(7), Fifo_full => Fifo_full(2), 
141South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
142
143Arbiter_1_3 : Arbiter
144
145PORT MAP (Request => Request(11), North => High, West => High, P => Signal_priority(7), Fifo_full => Fifo_full(3), 
146South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
147
148Arbiter_1_4 : Arbiter
149
150PORT MAP (Request => Request(8), North => High, West => High, P => Signal_priority(7), Fifo_full => Fifo_full(4), 
151South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
152
153-------------------------- Diagonale n° 2
154
155
156Arbiter_2_1 : Arbiter
157
158PORT MAP (Request => Request(5), North => south_2_north(1)(1), West => east_2_west(1)(4), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
159South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
160
161Arbiter_2_2 : Arbiter
162
163PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
164South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
165
166Arbiter_2_3 : Arbiter
167
168PORT MAP (Request => Request(15), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
169South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
170
171Arbiter_2_4 : Arbiter
172
173PORT MAP (Request => Request(12), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
174South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
175
176-------------------------- Diagonale n° 3
177
178
179Arbiter_3_1 : Arbiter
180
181PORT MAP (Request => Request(9), North => south_2_north(2)(1), West => east_2_west(2)(4), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
182South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
183
184Arbiter_3_2 : Arbiter
185
186PORT MAP (Request => Request(6), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
187South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
188
189Arbiter_3_3 : Arbiter
190
191PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
192South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
193
194Arbiter_3_4 : Arbiter
195
196PORT MAP (Request => Request(16), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
197South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
198
199-------------------------- Diagonale n° 4
200
201
202Arbiter_4_1 : Arbiter
203
204PORT MAP (Request => Request(13), North => south_2_north(3)(1), West => east_2_west(3)(4), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
205South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
206
207Arbiter_4_2 : Arbiter
208
209PORT MAP (Request => Request(10), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
210South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
211
212Arbiter_4_3 : Arbiter
213
214PORT MAP (Request => Request(7), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
215South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
216
217Arbiter_4_4 : Arbiter
218
219PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
220South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
221
222-------------------------- Diagonale n° 5
223
224
225Arbiter_5_1 : Arbiter
226
227PORT MAP (Request => Request(1), North => south_2_north(4)(1), West => east_2_west(4)(4), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
228South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
229
230Arbiter_5_2 : Arbiter
231
232PORT MAP (Request => Request(14), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
233South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
234
235Arbiter_5_3 : Arbiter
236
237PORT MAP (Request => Request(11), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
238South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
239
240Arbiter_5_4 : Arbiter
241
242PORT MAP (Request => Request(8), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
243South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
244
245-------------------------- Diagonale n° 6
246
247
248Arbiter_6_1 : Arbiter
249
250PORT MAP (Request => Request(5), North => south_2_north(5)(1), West => east_2_west(5)(4), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
251South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
252
253Arbiter_6_2 : Arbiter
254
255PORT MAP (Request => Request(2), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
256South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
257
258Arbiter_6_3 : Arbiter
259
260PORT MAP (Request => Request(15), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
261South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
262
263Arbiter_6_4 : Arbiter
264
265PORT MAP (Request => Request(12), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
266South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
267
268-------------------------- Diagonale n° 7
269
270
271Arbiter_7_1 : Arbiter
272
273PORT MAP (Request => Request(9), North => south_2_north(6)(1), West => east_2_west(6)(4), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
274South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
275
276Arbiter_7_2 : Arbiter
277
278PORT MAP (Request => Request(6), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
279South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
280
281Arbiter_7_3 : Arbiter
282
283PORT MAP (Request => Request(3), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
284South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
285
286Arbiter_7_4 : Arbiter
287
288PORT MAP (Request => Request(16), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
289South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
290
291
292--processus permettant de roter la priorité des diagonales à chaque front d'horloge
293 -- rotation round robin
294         round_robin : process(clk)
295        begin
296                if rising_edge(clk) then
297                 if reset ='1' then
298                    Signal_priority <= "1111000";
299                  elsif priority_rotation_en = '1' then
300                    case Signal_priority is
301                       when "1111000" => Signal_priority <= "0111100";
302                       when "0111100" => Signal_priority <= "0011110";
303                       when "0011110" => Signal_priority <= "0001111";
304                       when "0001111" => Signal_priority <= "1111000";
305                       when others    => Signal_priority <= "1111000";
306                  end case;
307                 end if;
308             end if;
309         end process;
310
311end Behavioral;
312
Note: See TracBrowser for help on using the repository browser.