source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/Test_Timer/ipcore_dir/blk_mem_gen_v6_2.vho @ 115

Last change on this file since 115 was 115, checked in by rolagamo, 10 years ago

Ajout des Cores utilisés dans le projet

File size: 4.8 KB
Line 
1--------------------------------------------------------------------------------
2--    This file is owned and controlled by Xilinx and must be used solely     --
3--    for design, simulation, implementation and creation of design files     --
4--    limited to Xilinx devices or technologies. Use with non-Xilinx          --
5--    devices or technologies is expressly prohibited and immediately         --
6--    terminates your license.                                                --
7--                                                                            --
8--    XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY    --
9--    FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY    --
10--    PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE             --
11--    IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS      --
12--    MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY      --
13--    CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY       --
14--    RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY       --
15--    DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE   --
16--    IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR          --
17--    REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF         --
18--    INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A   --
19--    PARTICULAR PURPOSE.                                                     --
20--                                                                            --
21--    Xilinx products are not intended for use in life support appliances,    --
22--    devices, or systems.  Use in such applications are expressly            --
23--    prohibited.                                                             --
24--                                                                            --
25--    (c) Copyright 1995-2014 Xilinx, Inc.                                    --
26--    All rights reserved.                                                    --
27--------------------------------------------------------------------------------
28
29--------------------------------------------------------------------------------
30--    Generated from core with identifier: xilinx.com:ip:blk_mem_gen:6.2      --
31--                                                                            --
32--    The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port    --
33--    Block Memory and Single Port Block Memory LogiCOREs, but is not a       --
34--    direct drop-in replacement.  It should be used in all new Xilinx        --
35--    designs. The core supports RAM and ROM functions over a wide range of   --
36--    widths and depths. Use this core to generate block memories with        --
37--    symmetric or asymmetric read and write port widths, as well as cores    --
38--    which can perform simultaneous write operations to separate             --
39--    locations, and simultaneous read operations from the same location.     --
40--    For more information on differences in interface and feature support    --
41--    between this core and the Dual Port Block Memory and Single Port        --
42--    Block Memory LogiCOREs, please consult the data sheet.                  --
43--------------------------------------------------------------------------------
44
45-- Interfaces:
46--    AXI_SLAVE_S_AXI
47--    AXILite_SLAVE_S_AXI
48
49-- The following code must appear in the VHDL architecture header:
50
51------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
52COMPONENT blk_mem_gen_v6_2
53  PORT (
54    clka : IN STD_LOGIC;
55    ena : IN STD_LOGIC;
56    wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
57    addra : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
58    dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
59    clkb : IN STD_LOGIC;
60    enb : IN STD_LOGIC;
61    addrb : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
62    doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
63  );
64END COMPONENT;
65-- COMP_TAG_END ------ End COMPONENT Declaration ------------
66
67-- The following code must appear in the VHDL architecture
68-- body. Substitute your own instance name and net names.
69
70------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
71your_instance_name : blk_mem_gen_v6_2
72  PORT MAP (
73    clka => clka,
74    ena => ena,
75    wea => wea,
76    addra => addra,
77    dina => dina,
78    clkb => clkb,
79    enb => enb,
80    addrb => addrb,
81    doutb => doutb
82  );
83-- INST_TAG_END ------ End INSTANTIATION Template ------------
84
85-- You must compile the wrapper file blk_mem_gen_v6_2.vhd when simulating
86-- the core, blk_mem_gen_v6_2. When compiling the wrapper file, be sure to
87-- reference the XilinxCoreLib VHDL simulation library. For detailed
88-- instructions, please refer to the "CORE Generator Help".
89
Note: See TracBrowser for help on using the repository browser.