source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/Test_Timer/ipcore_dir/blk_mem_gen_v6_2_ste/implement/planAhead_rdn.bat @ 115

Last change on this file since 115 was 115, checked in by rolagamo, 10 years ago

Ajout des Cores utilisés dans le projet

File size: 2.6 KB
Line 
1#!/bin/sh
2rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
3rem
4rem This file contains confidential and proprietary information
5rem of Xilinx, Inc. and is protected under U.S. and
6rem international copyright and other intellectual property
7rem laws.
8rem
9rem DISCLAIMER
10rem This disclaimer is not a license and does not grant any
11rem rights to the materials distributed herewith. Except as
12rem otherwise provided in a valid license issued to you by
13rem Xilinx, and to the maximum extent permitted by applicable
14rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19rem (2) Xilinx shall not be liable (whether in contract or tort,
20rem including negligence, or under any other theory of
21rem liability) for any loss or damage of any kind or nature
22rem related to, arising under or in connection with these
23rem materials, including for any direct, or any indirect,
24rem special, incidental, or consequential loss or damage
25rem (including loss of data, profits, goodwill, or any type of
26rem loss or damage suffered as a result of any action brought
27rem by a third party) even if such damage or loss was
28rem reasonably foreseeable or Xilinx had been advised of the
29rem possibility of the same.
30rem
31rem CRITICAL APPLICATIONS
32rem Xilinx products are not designed or intended to be fail-
33rem safe, or for use in any application requiring fail-safe
34rem performance, such as life-support or safety devices or
35rem systems, Class III medical devices, nuclear facilities,
36rem applications related to the deployment of airbags, or any
37rem other applications that could lead to death, personal
38rem injury, or severe property or environmental damage
39rem (individually and collectively, "Critical
40rem Applications"). Customer assumes the sole risk and
41rem liability of any use of Xilinx products in Critical
42rem Applications, subject only to applicable laws and
43rem regulations governing limitations on product liability.
44rem
45rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46rem PART OF THIS FILE AT ALL TIMES.
47
48rem -----------------------------------------------------------------------------
49rem  Script to synthesize and implement the Coregen FIFO Generator
50rem -----------------------------------------------------------------------------
51rmdir /S /Q results
52mkdir results
53cd results
54copy ..\..\..\tmp\blk_mem_gen_v6_2.edf .
55planAhead -mode batch -source ..\planAhead_rdn.tcl
Note: See TracBrowser for help on using the repository browser.