source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/Test_Timer/ipcore_dir/blk_mem_gen_v6_2_ste/implement/planAhead_rdn.tcl @ 115

Last change on this file since 115 was 115, checked in by rolagamo, 10 years ago

Ajout des Cores utilisés dans le projet

File size: 3.1 KB
Line 
1# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
2#
3# This file contains confidential and proprietary information
4# of Xilinx, Inc. and is protected under U.S. and
5# international copyright and other intellectual property
6# laws.
7#
8# DISCLAIMER
9# This disclaimer is not a license and does not grant any
10# rights to the materials distributed herewith. Except as
11# otherwise provided in a valid license issued to you by
12# Xilinx, and to the maximum extent permitted by applicable
13# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
14# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
15# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
16# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
17# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
18# (2) Xilinx shall not be liable (whether in contract or tort,
19# including negligence, or under any other theory of
20# liability) for any loss or damage of any kind or nature
21# related to, arising under or in connection with these
22# materials, including for any direct, or any indirect,
23# special, incidental, or consequential loss or damage
24# (including loss of data, profits, goodwill, or any type of
25# loss or damage suffered as a result of any action brought
26# by a third party) even if such damage or loss was
27# reasonably foreseeable or Xilinx had been advised of the
28# possibility of the same.
29#
30# CRITICAL APPLICATIONS
31# Xilinx products are not designed or intended to be fail-
32# safe, or for use in any application requiring fail-safe
33# performance, such as life-support or safety devices or
34# systems, Class III medical devices, nuclear facilities,
35# applications related to the deployment of airbags, or any
36# other applications that could lead to death, personal
37# injury, or severe property or environmental damage
38# (individually and collectively, "Critical
39# Applications"). Customer assumes the sole risk and
40# liability of any use of Xilinx products in Critical
41# Applications, subject only to applicable laws and
42# regulations governing limitations on product liability.
43#
44# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
45# PART OF THIS FILE AT ALL TIMES.
46
47
48set device xc6slx45csg324-3
49set projName blk_mem_gen_v6_2
50set design blk_mem_gen_v6_2
51set projDir [file dirname [info script]]
52create_project $projName $projDir/results/$projName -part $device -force
53set_property design_mode RTL [current_fileset -srcset]
54set top_module blk_mem_gen_v6_2_top
55add_files -norecurse {../../example_design/blk_mem_gen_v6_2_top.vhd}
56add_files -norecurse {./blk_mem_gen_v6_2.edf}
57import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/blk_mem_gen_v6_2_top.xdc}
58set_property top blk_mem_gen_v6_2_top [get_property srcset [current_run]]
59synth_design
60opt_design 
61place_design
62route_design
63set_param sta.dlyMediator true
64write_sdf -rename_top_module blk_mem_gen_v6_2_top -file routed.sdf
65write_verilog -nolib -mode sim -sdf_anno false -rename_top_module blk_mem_gen_v6_2_top routed.vhd
66report_timing -nworst 30 -path_type full -file routed.twr
67report_drc -file routed.drc
68#write_bitstream
Note: See TracBrowser for help on using the repository browser.