source: PROJECT_CORE_MPI/MPI_HCL/TRUNK/Test_Timer/ipcore_dir/mem8k8_ste/implement/planAhead_rdn.sh @ 115

Last change on this file since 115 was 115, checked in by rolagamo, 10 years ago

Ajout des Cores utilisés dans le projet

File size: 2.5 KB
Line 
1#!/bin/sh
2# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
3#
4# This file contains confidential and proprietary information
5# of Xilinx, Inc. and is protected under U.S. and
6# international copyright and other intellectual property
7# laws.
8#
9# DISCLAIMER
10# This disclaimer is not a license and does not grant any
11# rights to the materials distributed herewith. Except as
12# otherwise provided in a valid license issued to you by
13# Xilinx, and to the maximum extent permitted by applicable
14# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
15# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
16# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
17# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
18# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
19# (2) Xilinx shall not be liable (whether in contract or tort,
20# including negligence, or under any other theory of
21# liability) for any loss or damage of any kind or nature
22# related to, arising under or in connection with these
23# materials, including for any direct, or any indirect,
24# special, incidental, or consequential loss or damage
25# (including loss of data, profits, goodwill, or any type of
26# loss or damage suffered as a result of any action brought
27# by a third party) even if such damage or loss was
28# reasonably foreseeable or Xilinx had been advised of the
29# possibility of the same.
30#
31# CRITICAL APPLICATIONS
32# Xilinx products are not designed or intended to be fail-
33# safe, or for use in any application requiring fail-safe
34# performance, such as life-support or safety devices or
35# systems, Class III medical devices, nuclear facilities,
36# applications related to the deployment of airbags, or any
37# other applications that could lead to death, personal
38# injury, or severe property or environmental damage
39# (individually and collectively, "Critical
40# Applications"). Customer assumes the sole risk and
41# liability of any use of Xilinx products in Critical
42# Applications, subject only to applicable laws and
43# regulations governing limitations on product liability.
44#
45# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
46# PART OF THIS FILE AT ALL TIMES.
47
48#-----------------------------------------------------------------------------
49#  Script to synthesize and implement the Coregen FIFO Generator
50#-----------------------------------------------------------------------------
51rm -rf results
52mkdir results
53cd results
54cp ../../../tmp/mem8k8.edf .
55planAhead -mode batch -source ../planAhead_rdn.tcl
Note: See TracBrowser for help on using the repository browser.