-- TestBench Template LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY testbench IS END testbench; ARCHITECTURE behavior OF testbench IS -- Component Declaration COMPONENT PORT( : IN std_logic; : IN std_logic_vector(3 downto 0); : OUT std_logic_vector(3 downto 0) ); END COMPONENT; SIGNAL : std_logic; SIGNAL : std_logic_vector(3 downto 0); BEGIN -- Component Instantiation uut: PORT MAP( => , => ); -- Test Bench Statements tb : PROCESS BEGIN wait for 100 ns; -- wait until global set/reset completes -- Add user defined stimulus here wait; -- will wait forever END PROCESS tb; -- End Test Bench END;