source: PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/OLD_VERSION/SCHEDULER15_15.VHD @ 24

Last change on this file since 24 was 24, checked in by rolagamo, 12 years ago
File size: 137.6 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler15_15 is
32    Port ( Request : in  STD_LOGIC_VECTOR (225 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (15 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (15 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (225 downto 1));
38end Scheduler15_15;
39
40architecture Behavioral of Scheduler15_15 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(29 downto 1) of STD_LOGIC_VECTOR(15 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (29 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(225 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant :  std_logic_vector(225 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61 priority_rotation_en <= '1' when unsigned(priority_rotation) = 32767 else      '0';
62--latch servant qui memorise le signal grant pendant a transmission
63grant_latch_process : process(clk)
64 begin
65  if rising_edge(clk) then
66   if reset = '1' then
67                grant_latch <= (others => '0');
68         elsif priority_rotation_en = '1' then
69           grant_latch <= Grant;
70   end if;
71   end if;
72 end process;
73 port_grant <= Grant and grant_latch;
74 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(16)(1); --  Grant(1,1)
75Grant(2)  <= Signal_grant(2)(2) or Signal_grant(17)(2); --  Grant(1,2)
76Grant(3)  <= Signal_grant(3)(3) or Signal_grant(18)(3); --  Grant(1,3)
77Grant(4)  <= Signal_grant(4)(4) or Signal_grant(19)(4); --  Grant(1,4)
78Grant(5)  <= Signal_grant(5)(5) or Signal_grant(20)(5); --  Grant(1,5)
79Grant(6)  <= Signal_grant(6)(6) or Signal_grant(21)(6); --  Grant(1,6)
80Grant(7)  <= Signal_grant(7)(7) or Signal_grant(22)(7); --  Grant(1,7)
81Grant(8)  <= Signal_grant(8)(8) or Signal_grant(23)(8); --  Grant(1,8)
82Grant(9)  <= Signal_grant(9)(9) or Signal_grant(24)(9); --  Grant(1,9)
83Grant(10)  <= Signal_grant(10)(10) or Signal_grant(25)(10); --  Grant(1,10)
84Grant(11)  <= Signal_grant(11)(11) or Signal_grant(26)(11); --  Grant(1,11)
85Grant(12)  <= Signal_grant(12)(12) or Signal_grant(27)(12); --  Grant(1,12)
86Grant(13)  <= Signal_grant(13)(13) or Signal_grant(28)(13); --  Grant(1,13)
87Grant(14)  <= Signal_grant(14)(14) or Signal_grant(29)(14); --  Grant(1,14)
88Grant(15)  <= Signal_grant(15)(15) ;                      --  Grant(1,15)
89Grant(16)  <= Signal_grant(2)(1) or Signal_grant(17)(1); --  Grant(2,1)
90Grant(17)  <= Signal_grant(3)(2) or Signal_grant(18)(2); --  Grant(2,2)
91Grant(18)  <= Signal_grant(4)(3) or Signal_grant(19)(3); --  Grant(2,3)
92Grant(19)  <= Signal_grant(5)(4) or Signal_grant(20)(4); --  Grant(2,4)
93Grant(20)  <= Signal_grant(6)(5) or Signal_grant(21)(5); --  Grant(2,5)
94Grant(21)  <= Signal_grant(7)(6) or Signal_grant(22)(6); --  Grant(2,6)
95Grant(22)  <= Signal_grant(8)(7) or Signal_grant(23)(7); --  Grant(2,7)
96Grant(23)  <= Signal_grant(9)(8) or Signal_grant(24)(8); --  Grant(2,8)
97Grant(24)  <= Signal_grant(10)(9) or Signal_grant(25)(9); --  Grant(2,9)
98Grant(25)  <= Signal_grant(11)(10) or Signal_grant(26)(10); --  Grant(2,10)
99Grant(26)  <= Signal_grant(12)(11) or Signal_grant(27)(11); --  Grant(2,11)
100Grant(27)  <= Signal_grant(13)(12) or Signal_grant(28)(12); --  Grant(2,12)
101Grant(28)  <= Signal_grant(14)(13) or Signal_grant(29)(13); --  Grant(2,13)
102Grant(29)  <= Signal_grant(15)(14) ;                      --  Grant(2,14)
103Grant(30)  <= Signal_grant(1)(15) or Signal_grant(16)(15); --  Grant(2,15)
104Grant(31)  <= Signal_grant(3)(1) or Signal_grant(18)(1); --  Grant(3,1)
105Grant(32)  <= Signal_grant(4)(2) or Signal_grant(19)(2); --  Grant(3,2)
106Grant(33)  <= Signal_grant(5)(3) or Signal_grant(20)(3); --  Grant(3,3)
107Grant(34)  <= Signal_grant(6)(4) or Signal_grant(21)(4); --  Grant(3,4)
108Grant(35)  <= Signal_grant(7)(5) or Signal_grant(22)(5); --  Grant(3,5)
109Grant(36)  <= Signal_grant(8)(6) or Signal_grant(23)(6); --  Grant(3,6)
110Grant(37)  <= Signal_grant(9)(7) or Signal_grant(24)(7); --  Grant(3,7)
111Grant(38)  <= Signal_grant(10)(8) or Signal_grant(25)(8); --  Grant(3,8)
112Grant(39)  <= Signal_grant(11)(9) or Signal_grant(26)(9); --  Grant(3,9)
113Grant(40)  <= Signal_grant(12)(10) or Signal_grant(27)(10); --  Grant(3,10)
114Grant(41)  <= Signal_grant(13)(11) or Signal_grant(28)(11); --  Grant(3,11)
115Grant(42)  <= Signal_grant(14)(12) or Signal_grant(29)(12); --  Grant(3,12)
116Grant(43)  <= Signal_grant(15)(13) ;                      --  Grant(3,13)
117Grant(44)  <= Signal_grant(1)(14) or Signal_grant(16)(14); --  Grant(3,14)
118Grant(45)  <= Signal_grant(2)(15) or Signal_grant(17)(15); --  Grant(3,15)
119Grant(46)  <= Signal_grant(4)(1) or Signal_grant(19)(1); --  Grant(4,1)
120Grant(47)  <= Signal_grant(5)(2) or Signal_grant(20)(2); --  Grant(4,2)
121Grant(48)  <= Signal_grant(6)(3) or Signal_grant(21)(3); --  Grant(4,3)
122Grant(49)  <= Signal_grant(7)(4) or Signal_grant(22)(4); --  Grant(4,4)
123Grant(50)  <= Signal_grant(8)(5) or Signal_grant(23)(5); --  Grant(4,5)
124Grant(51)  <= Signal_grant(9)(6) or Signal_grant(24)(6); --  Grant(4,6)
125Grant(52)  <= Signal_grant(10)(7) or Signal_grant(25)(7); --  Grant(4,7)
126Grant(53)  <= Signal_grant(11)(8) or Signal_grant(26)(8); --  Grant(4,8)
127Grant(54)  <= Signal_grant(12)(9) or Signal_grant(27)(9); --  Grant(4,9)
128Grant(55)  <= Signal_grant(13)(10) or Signal_grant(28)(10); --  Grant(4,10)
129Grant(56)  <= Signal_grant(14)(11) or Signal_grant(29)(11); --  Grant(4,11)
130Grant(57)  <= Signal_grant(15)(12) ;                      --  Grant(4,12)
131Grant(58)  <= Signal_grant(1)(13) or Signal_grant(16)(13); --  Grant(4,13)
132Grant(59)  <= Signal_grant(2)(14) or Signal_grant(17)(14); --  Grant(4,14)
133Grant(60)  <= Signal_grant(3)(15) or Signal_grant(18)(15); --  Grant(4,15)
134Grant(61)  <= Signal_grant(5)(1) or Signal_grant(20)(1); --  Grant(5,1)
135Grant(62)  <= Signal_grant(6)(2) or Signal_grant(21)(2); --  Grant(5,2)
136Grant(63)  <= Signal_grant(7)(3) or Signal_grant(22)(3); --  Grant(5,3)
137Grant(64)  <= Signal_grant(8)(4) or Signal_grant(23)(4); --  Grant(5,4)
138Grant(65)  <= Signal_grant(9)(5) or Signal_grant(24)(5); --  Grant(5,5)
139Grant(66)  <= Signal_grant(10)(6) or Signal_grant(25)(6); --  Grant(5,6)
140Grant(67)  <= Signal_grant(11)(7) or Signal_grant(26)(7); --  Grant(5,7)
141Grant(68)  <= Signal_grant(12)(8) or Signal_grant(27)(8); --  Grant(5,8)
142Grant(69)  <= Signal_grant(13)(9) or Signal_grant(28)(9); --  Grant(5,9)
143Grant(70)  <= Signal_grant(14)(10) or Signal_grant(29)(10); --  Grant(5,10)
144Grant(71)  <= Signal_grant(15)(11) ;                      --  Grant(5,11)
145Grant(72)  <= Signal_grant(1)(12) or Signal_grant(16)(12); --  Grant(5,12)
146Grant(73)  <= Signal_grant(2)(13) or Signal_grant(17)(13); --  Grant(5,13)
147Grant(74)  <= Signal_grant(3)(14) or Signal_grant(18)(14); --  Grant(5,14)
148Grant(75)  <= Signal_grant(4)(15) or Signal_grant(19)(15); --  Grant(5,15)
149Grant(76)  <= Signal_grant(6)(1) or Signal_grant(21)(1); --  Grant(6,1)
150Grant(77)  <= Signal_grant(7)(2) or Signal_grant(22)(2); --  Grant(6,2)
151Grant(78)  <= Signal_grant(8)(3) or Signal_grant(23)(3); --  Grant(6,3)
152Grant(79)  <= Signal_grant(9)(4) or Signal_grant(24)(4); --  Grant(6,4)
153Grant(80)  <= Signal_grant(10)(5) or Signal_grant(25)(5); --  Grant(6,5)
154Grant(81)  <= Signal_grant(11)(6) or Signal_grant(26)(6); --  Grant(6,6)
155Grant(82)  <= Signal_grant(12)(7) or Signal_grant(27)(7); --  Grant(6,7)
156Grant(83)  <= Signal_grant(13)(8) or Signal_grant(28)(8); --  Grant(6,8)
157Grant(84)  <= Signal_grant(14)(9) or Signal_grant(29)(9); --  Grant(6,9)
158Grant(85)  <= Signal_grant(15)(10) ;                      --  Grant(6,10)
159Grant(86)  <= Signal_grant(1)(11) or Signal_grant(16)(11); --  Grant(6,11)
160Grant(87)  <= Signal_grant(2)(12) or Signal_grant(17)(12); --  Grant(6,12)
161Grant(88)  <= Signal_grant(3)(13) or Signal_grant(18)(13); --  Grant(6,13)
162Grant(89)  <= Signal_grant(4)(14) or Signal_grant(19)(14); --  Grant(6,14)
163Grant(90)  <= Signal_grant(5)(15) or Signal_grant(20)(15); --  Grant(6,15)
164Grant(91)  <= Signal_grant(7)(1) or Signal_grant(22)(1); --  Grant(7,1)
165Grant(92)  <= Signal_grant(8)(2) or Signal_grant(23)(2); --  Grant(7,2)
166Grant(93)  <= Signal_grant(9)(3) or Signal_grant(24)(3); --  Grant(7,3)
167Grant(94)  <= Signal_grant(10)(4) or Signal_grant(25)(4); --  Grant(7,4)
168Grant(95)  <= Signal_grant(11)(5) or Signal_grant(26)(5); --  Grant(7,5)
169Grant(96)  <= Signal_grant(12)(6) or Signal_grant(27)(6); --  Grant(7,6)
170Grant(97)  <= Signal_grant(13)(7) or Signal_grant(28)(7); --  Grant(7,7)
171Grant(98)  <= Signal_grant(14)(8) or Signal_grant(29)(8); --  Grant(7,8)
172Grant(99)  <= Signal_grant(15)(9) ;                      --  Grant(7,9)
173Grant(100)  <= Signal_grant(1)(10) or Signal_grant(16)(10); --  Grant(7,10)
174Grant(101)  <= Signal_grant(2)(11) or Signal_grant(17)(11); --  Grant(7,11)
175Grant(102)  <= Signal_grant(3)(12) or Signal_grant(18)(12); --  Grant(7,12)
176Grant(103)  <= Signal_grant(4)(13) or Signal_grant(19)(13); --  Grant(7,13)
177Grant(104)  <= Signal_grant(5)(14) or Signal_grant(20)(14); --  Grant(7,14)
178Grant(105)  <= Signal_grant(6)(15) or Signal_grant(21)(15); --  Grant(7,15)
179Grant(106)  <= Signal_grant(8)(1) or Signal_grant(23)(1); --  Grant(8,1)
180Grant(107)  <= Signal_grant(9)(2) or Signal_grant(24)(2); --  Grant(8,2)
181Grant(108)  <= Signal_grant(10)(3) or Signal_grant(25)(3); --  Grant(8,3)
182Grant(109)  <= Signal_grant(11)(4) or Signal_grant(26)(4); --  Grant(8,4)
183Grant(110)  <= Signal_grant(12)(5) or Signal_grant(27)(5); --  Grant(8,5)
184Grant(111)  <= Signal_grant(13)(6) or Signal_grant(28)(6); --  Grant(8,6)
185Grant(112)  <= Signal_grant(14)(7) or Signal_grant(29)(7); --  Grant(8,7)
186Grant(113)  <= Signal_grant(15)(8) ;                      --  Grant(8,8)
187Grant(114)  <= Signal_grant(1)(9) or Signal_grant(16)(9); --  Grant(8,9)
188Grant(115)  <= Signal_grant(2)(10) or Signal_grant(17)(10); --  Grant(8,10)
189Grant(116)  <= Signal_grant(3)(11) or Signal_grant(18)(11); --  Grant(8,11)
190Grant(117)  <= Signal_grant(4)(12) or Signal_grant(19)(12); --  Grant(8,12)
191Grant(118)  <= Signal_grant(5)(13) or Signal_grant(20)(13); --  Grant(8,13)
192Grant(119)  <= Signal_grant(6)(14) or Signal_grant(21)(14); --  Grant(8,14)
193Grant(120)  <= Signal_grant(7)(15) or Signal_grant(22)(15); --  Grant(8,15)
194Grant(121)  <= Signal_grant(9)(1) or Signal_grant(24)(1); --  Grant(9,1)
195Grant(122)  <= Signal_grant(10)(2) or Signal_grant(25)(2); --  Grant(9,2)
196Grant(123)  <= Signal_grant(11)(3) or Signal_grant(26)(3); --  Grant(9,3)
197Grant(124)  <= Signal_grant(12)(4) or Signal_grant(27)(4); --  Grant(9,4)
198Grant(125)  <= Signal_grant(13)(5) or Signal_grant(28)(5); --  Grant(9,5)
199Grant(126)  <= Signal_grant(14)(6) or Signal_grant(29)(6); --  Grant(9,6)
200Grant(127)  <= Signal_grant(15)(7) ;                      --  Grant(9,7)
201Grant(128)  <= Signal_grant(1)(8) or Signal_grant(16)(8); --  Grant(9,8)
202Grant(129)  <= Signal_grant(2)(9) or Signal_grant(17)(9); --  Grant(9,9)
203Grant(130)  <= Signal_grant(3)(10) or Signal_grant(18)(10); --  Grant(9,10)
204Grant(131)  <= Signal_grant(4)(11) or Signal_grant(19)(11); --  Grant(9,11)
205Grant(132)  <= Signal_grant(5)(12) or Signal_grant(20)(12); --  Grant(9,12)
206Grant(133)  <= Signal_grant(6)(13) or Signal_grant(21)(13); --  Grant(9,13)
207Grant(134)  <= Signal_grant(7)(14) or Signal_grant(22)(14); --  Grant(9,14)
208Grant(135)  <= Signal_grant(8)(15) or Signal_grant(23)(15); --  Grant(9,15)
209Grant(136)  <= Signal_grant(10)(1) or Signal_grant(25)(1); --  Grant(10,1)
210Grant(137)  <= Signal_grant(11)(2) or Signal_grant(26)(2); --  Grant(10,2)
211Grant(138)  <= Signal_grant(12)(3) or Signal_grant(27)(3); --  Grant(10,3)
212Grant(139)  <= Signal_grant(13)(4) or Signal_grant(28)(4); --  Grant(10,4)
213Grant(140)  <= Signal_grant(14)(5) or Signal_grant(29)(5); --  Grant(10,5)
214Grant(141)  <= Signal_grant(15)(6) ;                      --  Grant(10,6)
215Grant(142)  <= Signal_grant(1)(7) or Signal_grant(16)(7); --  Grant(10,7)
216Grant(143)  <= Signal_grant(2)(8) or Signal_grant(17)(8); --  Grant(10,8)
217Grant(144)  <= Signal_grant(3)(9) or Signal_grant(18)(9); --  Grant(10,9)
218Grant(145)  <= Signal_grant(4)(10) or Signal_grant(19)(10); --  Grant(10,10)
219Grant(146)  <= Signal_grant(5)(11) or Signal_grant(20)(11); --  Grant(10,11)
220Grant(147)  <= Signal_grant(6)(12) or Signal_grant(21)(12); --  Grant(10,12)
221Grant(148)  <= Signal_grant(7)(13) or Signal_grant(22)(13); --  Grant(10,13)
222Grant(149)  <= Signal_grant(8)(14) or Signal_grant(23)(14); --  Grant(10,14)
223Grant(150)  <= Signal_grant(9)(15) or Signal_grant(24)(15); --  Grant(10,15)
224Grant(151)  <= Signal_grant(11)(1) or Signal_grant(26)(1); --  Grant(11,1)
225Grant(152)  <= Signal_grant(12)(2) or Signal_grant(27)(2); --  Grant(11,2)
226Grant(153)  <= Signal_grant(13)(3) or Signal_grant(28)(3); --  Grant(11,3)
227Grant(154)  <= Signal_grant(14)(4) or Signal_grant(29)(4); --  Grant(11,4)
228Grant(155)  <= Signal_grant(15)(5) ;                      --  Grant(11,5)
229Grant(156)  <= Signal_grant(1)(6) or Signal_grant(16)(6); --  Grant(11,6)
230Grant(157)  <= Signal_grant(2)(7) or Signal_grant(17)(7); --  Grant(11,7)
231Grant(158)  <= Signal_grant(3)(8) or Signal_grant(18)(8); --  Grant(11,8)
232Grant(159)  <= Signal_grant(4)(9) or Signal_grant(19)(9); --  Grant(11,9)
233Grant(160)  <= Signal_grant(5)(10) or Signal_grant(20)(10); --  Grant(11,10)
234Grant(161)  <= Signal_grant(6)(11) or Signal_grant(21)(11); --  Grant(11,11)
235Grant(162)  <= Signal_grant(7)(12) or Signal_grant(22)(12); --  Grant(11,12)
236Grant(163)  <= Signal_grant(8)(13) or Signal_grant(23)(13); --  Grant(11,13)
237Grant(164)  <= Signal_grant(9)(14) or Signal_grant(24)(14); --  Grant(11,14)
238Grant(165)  <= Signal_grant(10)(15) or Signal_grant(25)(15); --  Grant(11,15)
239Grant(166)  <= Signal_grant(12)(1) or Signal_grant(27)(1); --  Grant(12,1)
240Grant(167)  <= Signal_grant(13)(2) or Signal_grant(28)(2); --  Grant(12,2)
241Grant(168)  <= Signal_grant(14)(3) or Signal_grant(29)(3); --  Grant(12,3)
242Grant(169)  <= Signal_grant(15)(4) ;                      --  Grant(12,4)
243Grant(170)  <= Signal_grant(1)(5) or Signal_grant(16)(5); --  Grant(12,5)
244Grant(171)  <= Signal_grant(2)(6) or Signal_grant(17)(6); --  Grant(12,6)
245Grant(172)  <= Signal_grant(3)(7) or Signal_grant(18)(7); --  Grant(12,7)
246Grant(173)  <= Signal_grant(4)(8) or Signal_grant(19)(8); --  Grant(12,8)
247Grant(174)  <= Signal_grant(5)(9) or Signal_grant(20)(9); --  Grant(12,9)
248Grant(175)  <= Signal_grant(6)(10) or Signal_grant(21)(10); --  Grant(12,10)
249Grant(176)  <= Signal_grant(7)(11) or Signal_grant(22)(11); --  Grant(12,11)
250Grant(177)  <= Signal_grant(8)(12) or Signal_grant(23)(12); --  Grant(12,12)
251Grant(178)  <= Signal_grant(9)(13) or Signal_grant(24)(13); --  Grant(12,13)
252Grant(179)  <= Signal_grant(10)(14) or Signal_grant(25)(14); --  Grant(12,14)
253Grant(180)  <= Signal_grant(11)(15) or Signal_grant(26)(15); --  Grant(12,15)
254Grant(181)  <= Signal_grant(13)(1) or Signal_grant(28)(1); --  Grant(13,1)
255Grant(182)  <= Signal_grant(14)(2) or Signal_grant(29)(2); --  Grant(13,2)
256Grant(183)  <= Signal_grant(15)(3) ;                      --  Grant(13,3)
257Grant(184)  <= Signal_grant(1)(4) or Signal_grant(16)(4); --  Grant(13,4)
258Grant(185)  <= Signal_grant(2)(5) or Signal_grant(17)(5); --  Grant(13,5)
259Grant(186)  <= Signal_grant(3)(6) or Signal_grant(18)(6); --  Grant(13,6)
260Grant(187)  <= Signal_grant(4)(7) or Signal_grant(19)(7); --  Grant(13,7)
261Grant(188)  <= Signal_grant(5)(8) or Signal_grant(20)(8); --  Grant(13,8)
262Grant(189)  <= Signal_grant(6)(9) or Signal_grant(21)(9); --  Grant(13,9)
263Grant(190)  <= Signal_grant(7)(10) or Signal_grant(22)(10); --  Grant(13,10)
264Grant(191)  <= Signal_grant(8)(11) or Signal_grant(23)(11); --  Grant(13,11)
265Grant(192)  <= Signal_grant(9)(12) or Signal_grant(24)(12); --  Grant(13,12)
266Grant(193)  <= Signal_grant(10)(13) or Signal_grant(25)(13); --  Grant(13,13)
267Grant(194)  <= Signal_grant(11)(14) or Signal_grant(26)(14); --  Grant(13,14)
268Grant(195)  <= Signal_grant(12)(15) or Signal_grant(27)(15); --  Grant(13,15)
269Grant(196)  <= Signal_grant(14)(1) or Signal_grant(29)(1); --  Grant(14,1)
270Grant(197)  <= Signal_grant(15)(2) ;                      --  Grant(14,2)
271Grant(198)  <= Signal_grant(1)(3) or Signal_grant(16)(3); --  Grant(14,3)
272Grant(199)  <= Signal_grant(2)(4) or Signal_grant(17)(4); --  Grant(14,4)
273Grant(200)  <= Signal_grant(3)(5) or Signal_grant(18)(5); --  Grant(14,5)
274Grant(201)  <= Signal_grant(4)(6) or Signal_grant(19)(6); --  Grant(14,6)
275Grant(202)  <= Signal_grant(5)(7) or Signal_grant(20)(7); --  Grant(14,7)
276Grant(203)  <= Signal_grant(6)(8) or Signal_grant(21)(8); --  Grant(14,8)
277Grant(204)  <= Signal_grant(7)(9) or Signal_grant(22)(9); --  Grant(14,9)
278Grant(205)  <= Signal_grant(8)(10) or Signal_grant(23)(10); --  Grant(14,10)
279Grant(206)  <= Signal_grant(9)(11) or Signal_grant(24)(11); --  Grant(14,11)
280Grant(207)  <= Signal_grant(10)(12) or Signal_grant(25)(12); --  Grant(14,12)
281Grant(208)  <= Signal_grant(11)(13) or Signal_grant(26)(13); --  Grant(14,13)
282Grant(209)  <= Signal_grant(12)(14) or Signal_grant(27)(14); --  Grant(14,14)
283Grant(210)  <= Signal_grant(13)(15) or Signal_grant(28)(15); --  Grant(14,15)
284Grant(211)  <= Signal_grant(15)(1) ;                      --  Grant(15,1)
285Grant(212)  <= Signal_grant(1)(2) or Signal_grant(16)(2); --  Grant(15,2)
286Grant(213)  <= Signal_grant(2)(3) or Signal_grant(17)(3); --  Grant(15,3)
287Grant(214)  <= Signal_grant(3)(4) or Signal_grant(18)(4); --  Grant(15,4)
288Grant(215)  <= Signal_grant(4)(5) or Signal_grant(19)(5); --  Grant(15,5)
289Grant(216)  <= Signal_grant(5)(6) or Signal_grant(20)(6); --  Grant(15,6)
290Grant(217)  <= Signal_grant(6)(7) or Signal_grant(21)(7); --  Grant(15,7)
291Grant(218)  <= Signal_grant(7)(8) or Signal_grant(22)(8); --  Grant(15,8)
292Grant(219)  <= Signal_grant(8)(9) or Signal_grant(23)(9); --  Grant(15,9)
293Grant(220)  <= Signal_grant(9)(10) or Signal_grant(24)(10); --  Grant(15,10)
294Grant(221)  <= Signal_grant(10)(11) or Signal_grant(25)(11); --  Grant(15,11)
295Grant(222)  <= Signal_grant(11)(12) or Signal_grant(26)(12); --  Grant(15,12)
296Grant(223)  <= Signal_grant(12)(13) or Signal_grant(27)(13); --  Grant(15,13)
297Grant(224)  <= Signal_grant(13)(14) or Signal_grant(28)(14); --  Grant(15,14)
298Grant(225)  <= Signal_grant(14)(15) or Signal_grant(29)(15); --  Grant(15,15)
299High <= '1';
300
301----instantiations des cellules arbitres et interconnection
302
303-------------------------- Diagonale n° 1
304
305
306Arbiter_1_1 : Arbiter
307
308PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(1), 
309South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
310
311Arbiter_1_2 : Arbiter
312
313PORT MAP (Request => Request(212), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(2), 
314South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
315
316Arbiter_1_3 : Arbiter
317
318PORT MAP (Request => Request(198), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(3), 
319South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
320
321Arbiter_1_4 : Arbiter
322
323PORT MAP (Request => Request(184), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(4), 
324South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
325
326Arbiter_1_5 : Arbiter
327
328PORT MAP (Request => Request(170), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(5), 
329South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
330
331Arbiter_1_6 : Arbiter
332
333PORT MAP (Request => Request(156), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(6), 
334South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
335
336Arbiter_1_7 : Arbiter
337
338PORT MAP (Request => Request(142), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(7), 
339South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
340
341Arbiter_1_8 : Arbiter
342
343PORT MAP (Request => Request(128), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(8), 
344South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
345
346Arbiter_1_9 : Arbiter
347
348PORT MAP (Request => Request(114), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(9), 
349South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
350
351Arbiter_1_10 : Arbiter
352
353PORT MAP (Request => Request(100), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(10), 
354South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
355
356Arbiter_1_11 : Arbiter
357
358PORT MAP (Request => Request(86), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(11), 
359South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
360
361Arbiter_1_12 : Arbiter
362
363PORT MAP (Request => Request(72), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(12), 
364South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
365
366Arbiter_1_13 : Arbiter
367
368PORT MAP (Request => Request(58), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(13), 
369South => south_2_north(1)(13), East => east_2_west(1)(13) , Grant => Signal_grant(1)(13));
370
371Arbiter_1_14 : Arbiter
372
373PORT MAP (Request => Request(44), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(14), 
374South => south_2_north(1)(14), East => east_2_west(1)(14) , Grant => Signal_grant(1)(14));
375
376Arbiter_1_15 : Arbiter
377
378PORT MAP (Request => Request(30), North => High, West => High, P => Signal_priority(29), Fifo_full => Fifo_full(15), 
379South => south_2_north(1)(15), East => east_2_west(1)(15) , Grant => Signal_grant(1)(15));
380
381-------------------------- Diagonale n° 2
382
383
384Arbiter_2_1 : Arbiter
385
386PORT MAP (Request => Request(16), North => south_2_north(1)(1), West => east_2_west(1)(15), P => Signal_priority(28), Fifo_full => Fifo_full(1), 
387South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
388
389Arbiter_2_2 : Arbiter
390
391PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(28), Fifo_full => Fifo_full(2), 
392South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
393
394Arbiter_2_3 : Arbiter
395
396PORT MAP (Request => Request(213), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(28), Fifo_full => Fifo_full(3), 
397South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
398
399Arbiter_2_4 : Arbiter
400
401PORT MAP (Request => Request(199), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(28), Fifo_full => Fifo_full(4), 
402South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
403
404Arbiter_2_5 : Arbiter
405
406PORT MAP (Request => Request(185), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(28), Fifo_full => Fifo_full(5), 
407South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
408
409Arbiter_2_6 : Arbiter
410
411PORT MAP (Request => Request(171), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(28), Fifo_full => Fifo_full(6), 
412South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
413
414Arbiter_2_7 : Arbiter
415
416PORT MAP (Request => Request(157), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(28), Fifo_full => Fifo_full(7), 
417South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
418
419Arbiter_2_8 : Arbiter
420
421PORT MAP (Request => Request(143), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(28), Fifo_full => Fifo_full(8), 
422South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
423
424Arbiter_2_9 : Arbiter
425
426PORT MAP (Request => Request(129), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(28), Fifo_full => Fifo_full(9), 
427South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
428
429Arbiter_2_10 : Arbiter
430
431PORT MAP (Request => Request(115), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(28), Fifo_full => Fifo_full(10), 
432South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
433
434Arbiter_2_11 : Arbiter
435
436PORT MAP (Request => Request(101), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(28), Fifo_full => Fifo_full(11), 
437South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
438
439Arbiter_2_12 : Arbiter
440
441PORT MAP (Request => Request(87), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(28), Fifo_full => Fifo_full(12), 
442South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
443
444Arbiter_2_13 : Arbiter
445
446PORT MAP (Request => Request(73), North => south_2_north(1)(13), West => east_2_west(1)(12), P => Signal_priority(28), Fifo_full => Fifo_full(13), 
447South => south_2_north(2)(13), East => east_2_west(2)(13) , Grant => Signal_grant(2)(13));
448
449Arbiter_2_14 : Arbiter
450
451PORT MAP (Request => Request(59), North => south_2_north(1)(14), West => east_2_west(1)(13), P => Signal_priority(28), Fifo_full => Fifo_full(14), 
452South => south_2_north(2)(14), East => east_2_west(2)(14) , Grant => Signal_grant(2)(14));
453
454Arbiter_2_15 : Arbiter
455
456PORT MAP (Request => Request(45), North => south_2_north(1)(15), West => east_2_west(1)(14), P => Signal_priority(28), Fifo_full => Fifo_full(15), 
457South => south_2_north(2)(15), East => east_2_west(2)(15) , Grant => Signal_grant(2)(15));
458
459-------------------------- Diagonale n° 3
460
461
462Arbiter_3_1 : Arbiter
463
464PORT MAP (Request => Request(31), North => south_2_north(2)(1), West => east_2_west(2)(15), P => Signal_priority(27), Fifo_full => Fifo_full(1), 
465South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
466
467Arbiter_3_2 : Arbiter
468
469PORT MAP (Request => Request(17), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(27), Fifo_full => Fifo_full(2), 
470South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
471
472Arbiter_3_3 : Arbiter
473
474PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(27), Fifo_full => Fifo_full(3), 
475South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
476
477Arbiter_3_4 : Arbiter
478
479PORT MAP (Request => Request(214), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(27), Fifo_full => Fifo_full(4), 
480South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
481
482Arbiter_3_5 : Arbiter
483
484PORT MAP (Request => Request(200), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(27), Fifo_full => Fifo_full(5), 
485South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
486
487Arbiter_3_6 : Arbiter
488
489PORT MAP (Request => Request(186), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(27), Fifo_full => Fifo_full(6), 
490South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
491
492Arbiter_3_7 : Arbiter
493
494PORT MAP (Request => Request(172), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(27), Fifo_full => Fifo_full(7), 
495South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
496
497Arbiter_3_8 : Arbiter
498
499PORT MAP (Request => Request(158), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(27), Fifo_full => Fifo_full(8), 
500South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
501
502Arbiter_3_9 : Arbiter
503
504PORT MAP (Request => Request(144), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(27), Fifo_full => Fifo_full(9), 
505South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
506
507Arbiter_3_10 : Arbiter
508
509PORT MAP (Request => Request(130), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(27), Fifo_full => Fifo_full(10), 
510South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
511
512Arbiter_3_11 : Arbiter
513
514PORT MAP (Request => Request(116), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(27), Fifo_full => Fifo_full(11), 
515South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
516
517Arbiter_3_12 : Arbiter
518
519PORT MAP (Request => Request(102), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(27), Fifo_full => Fifo_full(12), 
520South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
521
522Arbiter_3_13 : Arbiter
523
524PORT MAP (Request => Request(88), North => south_2_north(2)(13), West => east_2_west(2)(12), P => Signal_priority(27), Fifo_full => Fifo_full(13), 
525South => south_2_north(3)(13), East => east_2_west(3)(13) , Grant => Signal_grant(3)(13));
526
527Arbiter_3_14 : Arbiter
528
529PORT MAP (Request => Request(74), North => south_2_north(2)(14), West => east_2_west(2)(13), P => Signal_priority(27), Fifo_full => Fifo_full(14), 
530South => south_2_north(3)(14), East => east_2_west(3)(14) , Grant => Signal_grant(3)(14));
531
532Arbiter_3_15 : Arbiter
533
534PORT MAP (Request => Request(60), North => south_2_north(2)(15), West => east_2_west(2)(14), P => Signal_priority(27), Fifo_full => Fifo_full(15), 
535South => south_2_north(3)(15), East => east_2_west(3)(15) , Grant => Signal_grant(3)(15));
536
537-------------------------- Diagonale n° 4
538
539
540Arbiter_4_1 : Arbiter
541
542PORT MAP (Request => Request(46), North => south_2_north(3)(1), West => east_2_west(3)(15), P => Signal_priority(26), Fifo_full => Fifo_full(1), 
543South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
544
545Arbiter_4_2 : Arbiter
546
547PORT MAP (Request => Request(32), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(26), Fifo_full => Fifo_full(2), 
548South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
549
550Arbiter_4_3 : Arbiter
551
552PORT MAP (Request => Request(18), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(26), Fifo_full => Fifo_full(3), 
553South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
554
555Arbiter_4_4 : Arbiter
556
557PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(26), Fifo_full => Fifo_full(4), 
558South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
559
560Arbiter_4_5 : Arbiter
561
562PORT MAP (Request => Request(215), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(26), Fifo_full => Fifo_full(5), 
563South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
564
565Arbiter_4_6 : Arbiter
566
567PORT MAP (Request => Request(201), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(26), Fifo_full => Fifo_full(6), 
568South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
569
570Arbiter_4_7 : Arbiter
571
572PORT MAP (Request => Request(187), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(26), Fifo_full => Fifo_full(7), 
573South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
574
575Arbiter_4_8 : Arbiter
576
577PORT MAP (Request => Request(173), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(26), Fifo_full => Fifo_full(8), 
578South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
579
580Arbiter_4_9 : Arbiter
581
582PORT MAP (Request => Request(159), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(26), Fifo_full => Fifo_full(9), 
583South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
584
585Arbiter_4_10 : Arbiter
586
587PORT MAP (Request => Request(145), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(26), Fifo_full => Fifo_full(10), 
588South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
589
590Arbiter_4_11 : Arbiter
591
592PORT MAP (Request => Request(131), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(26), Fifo_full => Fifo_full(11), 
593South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
594
595Arbiter_4_12 : Arbiter
596
597PORT MAP (Request => Request(117), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(26), Fifo_full => Fifo_full(12), 
598South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
599
600Arbiter_4_13 : Arbiter
601
602PORT MAP (Request => Request(103), North => south_2_north(3)(13), West => east_2_west(3)(12), P => Signal_priority(26), Fifo_full => Fifo_full(13), 
603South => south_2_north(4)(13), East => east_2_west(4)(13) , Grant => Signal_grant(4)(13));
604
605Arbiter_4_14 : Arbiter
606
607PORT MAP (Request => Request(89), North => south_2_north(3)(14), West => east_2_west(3)(13), P => Signal_priority(26), Fifo_full => Fifo_full(14), 
608South => south_2_north(4)(14), East => east_2_west(4)(14) , Grant => Signal_grant(4)(14));
609
610Arbiter_4_15 : Arbiter
611
612PORT MAP (Request => Request(75), North => south_2_north(3)(15), West => east_2_west(3)(14), P => Signal_priority(26), Fifo_full => Fifo_full(15), 
613South => south_2_north(4)(15), East => east_2_west(4)(15) , Grant => Signal_grant(4)(15));
614
615-------------------------- Diagonale n° 5
616
617
618Arbiter_5_1 : Arbiter
619
620PORT MAP (Request => Request(61), North => south_2_north(4)(1), West => east_2_west(4)(15), P => Signal_priority(25), Fifo_full => Fifo_full(1), 
621South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
622
623Arbiter_5_2 : Arbiter
624
625PORT MAP (Request => Request(47), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(25), Fifo_full => Fifo_full(2), 
626South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
627
628Arbiter_5_3 : Arbiter
629
630PORT MAP (Request => Request(33), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(25), Fifo_full => Fifo_full(3), 
631South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
632
633Arbiter_5_4 : Arbiter
634
635PORT MAP (Request => Request(19), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(25), Fifo_full => Fifo_full(4), 
636South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
637
638Arbiter_5_5 : Arbiter
639
640PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(25), Fifo_full => Fifo_full(5), 
641South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
642
643Arbiter_5_6 : Arbiter
644
645PORT MAP (Request => Request(216), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(25), Fifo_full => Fifo_full(6), 
646South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
647
648Arbiter_5_7 : Arbiter
649
650PORT MAP (Request => Request(202), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(25), Fifo_full => Fifo_full(7), 
651South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
652
653Arbiter_5_8 : Arbiter
654
655PORT MAP (Request => Request(188), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(25), Fifo_full => Fifo_full(8), 
656South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
657
658Arbiter_5_9 : Arbiter
659
660PORT MAP (Request => Request(174), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(25), Fifo_full => Fifo_full(9), 
661South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
662
663Arbiter_5_10 : Arbiter
664
665PORT MAP (Request => Request(160), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(25), Fifo_full => Fifo_full(10), 
666South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
667
668Arbiter_5_11 : Arbiter
669
670PORT MAP (Request => Request(146), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(25), Fifo_full => Fifo_full(11), 
671South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
672
673Arbiter_5_12 : Arbiter
674
675PORT MAP (Request => Request(132), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(25), Fifo_full => Fifo_full(12), 
676South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
677
678Arbiter_5_13 : Arbiter
679
680PORT MAP (Request => Request(118), North => south_2_north(4)(13), West => east_2_west(4)(12), P => Signal_priority(25), Fifo_full => Fifo_full(13), 
681South => south_2_north(5)(13), East => east_2_west(5)(13) , Grant => Signal_grant(5)(13));
682
683Arbiter_5_14 : Arbiter
684
685PORT MAP (Request => Request(104), North => south_2_north(4)(14), West => east_2_west(4)(13), P => Signal_priority(25), Fifo_full => Fifo_full(14), 
686South => south_2_north(5)(14), East => east_2_west(5)(14) , Grant => Signal_grant(5)(14));
687
688Arbiter_5_15 : Arbiter
689
690PORT MAP (Request => Request(90), North => south_2_north(4)(15), West => east_2_west(4)(14), P => Signal_priority(25), Fifo_full => Fifo_full(15), 
691South => south_2_north(5)(15), East => east_2_west(5)(15) , Grant => Signal_grant(5)(15));
692
693-------------------------- Diagonale n° 6
694
695
696Arbiter_6_1 : Arbiter
697
698PORT MAP (Request => Request(76), North => south_2_north(5)(1), West => east_2_west(5)(15), P => Signal_priority(24), Fifo_full => Fifo_full(1), 
699South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
700
701Arbiter_6_2 : Arbiter
702
703PORT MAP (Request => Request(62), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(24), Fifo_full => Fifo_full(2), 
704South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
705
706Arbiter_6_3 : Arbiter
707
708PORT MAP (Request => Request(48), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(24), Fifo_full => Fifo_full(3), 
709South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
710
711Arbiter_6_4 : Arbiter
712
713PORT MAP (Request => Request(34), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(24), Fifo_full => Fifo_full(4), 
714South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
715
716Arbiter_6_5 : Arbiter
717
718PORT MAP (Request => Request(20), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(24), Fifo_full => Fifo_full(5), 
719South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
720
721Arbiter_6_6 : Arbiter
722
723PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(24), Fifo_full => Fifo_full(6), 
724South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
725
726Arbiter_6_7 : Arbiter
727
728PORT MAP (Request => Request(217), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(24), Fifo_full => Fifo_full(7), 
729South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
730
731Arbiter_6_8 : Arbiter
732
733PORT MAP (Request => Request(203), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(24), Fifo_full => Fifo_full(8), 
734South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
735
736Arbiter_6_9 : Arbiter
737
738PORT MAP (Request => Request(189), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(24), Fifo_full => Fifo_full(9), 
739South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
740
741Arbiter_6_10 : Arbiter
742
743PORT MAP (Request => Request(175), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(24), Fifo_full => Fifo_full(10), 
744South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
745
746Arbiter_6_11 : Arbiter
747
748PORT MAP (Request => Request(161), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(24), Fifo_full => Fifo_full(11), 
749South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
750
751Arbiter_6_12 : Arbiter
752
753PORT MAP (Request => Request(147), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(24), Fifo_full => Fifo_full(12), 
754South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
755
756Arbiter_6_13 : Arbiter
757
758PORT MAP (Request => Request(133), North => south_2_north(5)(13), West => east_2_west(5)(12), P => Signal_priority(24), Fifo_full => Fifo_full(13), 
759South => south_2_north(6)(13), East => east_2_west(6)(13) , Grant => Signal_grant(6)(13));
760
761Arbiter_6_14 : Arbiter
762
763PORT MAP (Request => Request(119), North => south_2_north(5)(14), West => east_2_west(5)(13), P => Signal_priority(24), Fifo_full => Fifo_full(14), 
764South => south_2_north(6)(14), East => east_2_west(6)(14) , Grant => Signal_grant(6)(14));
765
766Arbiter_6_15 : Arbiter
767
768PORT MAP (Request => Request(105), North => south_2_north(5)(15), West => east_2_west(5)(14), P => Signal_priority(24), Fifo_full => Fifo_full(15), 
769South => south_2_north(6)(15), East => east_2_west(6)(15) , Grant => Signal_grant(6)(15));
770
771-------------------------- Diagonale n° 7
772
773
774Arbiter_7_1 : Arbiter
775
776PORT MAP (Request => Request(91), North => south_2_north(6)(1), West => east_2_west(6)(15), P => Signal_priority(23), Fifo_full => Fifo_full(1), 
777South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
778
779Arbiter_7_2 : Arbiter
780
781PORT MAP (Request => Request(77), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(23), Fifo_full => Fifo_full(2), 
782South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
783
784Arbiter_7_3 : Arbiter
785
786PORT MAP (Request => Request(63), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(23), Fifo_full => Fifo_full(3), 
787South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
788
789Arbiter_7_4 : Arbiter
790
791PORT MAP (Request => Request(49), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(23), Fifo_full => Fifo_full(4), 
792South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
793
794Arbiter_7_5 : Arbiter
795
796PORT MAP (Request => Request(35), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(23), Fifo_full => Fifo_full(5), 
797South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
798
799Arbiter_7_6 : Arbiter
800
801PORT MAP (Request => Request(21), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(23), Fifo_full => Fifo_full(6), 
802South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
803
804Arbiter_7_7 : Arbiter
805
806PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(23), Fifo_full => Fifo_full(7), 
807South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
808
809Arbiter_7_8 : Arbiter
810
811PORT MAP (Request => Request(218), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(23), Fifo_full => Fifo_full(8), 
812South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
813
814Arbiter_7_9 : Arbiter
815
816PORT MAP (Request => Request(204), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(23), Fifo_full => Fifo_full(9), 
817South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
818
819Arbiter_7_10 : Arbiter
820
821PORT MAP (Request => Request(190), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(23), Fifo_full => Fifo_full(10), 
822South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
823
824Arbiter_7_11 : Arbiter
825
826PORT MAP (Request => Request(176), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(23), Fifo_full => Fifo_full(11), 
827South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
828
829Arbiter_7_12 : Arbiter
830
831PORT MAP (Request => Request(162), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(23), Fifo_full => Fifo_full(12), 
832South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
833
834Arbiter_7_13 : Arbiter
835
836PORT MAP (Request => Request(148), North => south_2_north(6)(13), West => east_2_west(6)(12), P => Signal_priority(23), Fifo_full => Fifo_full(13), 
837South => south_2_north(7)(13), East => east_2_west(7)(13) , Grant => Signal_grant(7)(13));
838
839Arbiter_7_14 : Arbiter
840
841PORT MAP (Request => Request(134), North => south_2_north(6)(14), West => east_2_west(6)(13), P => Signal_priority(23), Fifo_full => Fifo_full(14), 
842South => south_2_north(7)(14), East => east_2_west(7)(14) , Grant => Signal_grant(7)(14));
843
844Arbiter_7_15 : Arbiter
845
846PORT MAP (Request => Request(120), North => south_2_north(6)(15), West => east_2_west(6)(14), P => Signal_priority(23), Fifo_full => Fifo_full(15), 
847South => south_2_north(7)(15), East => east_2_west(7)(15) , Grant => Signal_grant(7)(15));
848
849-------------------------- Diagonale n° 8
850
851
852Arbiter_8_1 : Arbiter
853
854PORT MAP (Request => Request(106), North => south_2_north(7)(1), West => east_2_west(7)(15), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
855South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
856
857Arbiter_8_2 : Arbiter
858
859PORT MAP (Request => Request(92), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
860South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
861
862Arbiter_8_3 : Arbiter
863
864PORT MAP (Request => Request(78), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
865South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
866
867Arbiter_8_4 : Arbiter
868
869PORT MAP (Request => Request(64), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
870South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
871
872Arbiter_8_5 : Arbiter
873
874PORT MAP (Request => Request(50), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
875South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
876
877Arbiter_8_6 : Arbiter
878
879PORT MAP (Request => Request(36), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
880South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
881
882Arbiter_8_7 : Arbiter
883
884PORT MAP (Request => Request(22), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
885South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
886
887Arbiter_8_8 : Arbiter
888
889PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
890South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
891
892Arbiter_8_9 : Arbiter
893
894PORT MAP (Request => Request(219), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
895South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
896
897Arbiter_8_10 : Arbiter
898
899PORT MAP (Request => Request(205), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
900South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
901
902Arbiter_8_11 : Arbiter
903
904PORT MAP (Request => Request(191), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
905South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
906
907Arbiter_8_12 : Arbiter
908
909PORT MAP (Request => Request(177), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
910South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
911
912Arbiter_8_13 : Arbiter
913
914PORT MAP (Request => Request(163), North => south_2_north(7)(13), West => east_2_west(7)(12), P => Signal_priority(22), Fifo_full => Fifo_full(13), 
915South => south_2_north(8)(13), East => east_2_west(8)(13) , Grant => Signal_grant(8)(13));
916
917Arbiter_8_14 : Arbiter
918
919PORT MAP (Request => Request(149), North => south_2_north(7)(14), West => east_2_west(7)(13), P => Signal_priority(22), Fifo_full => Fifo_full(14), 
920South => south_2_north(8)(14), East => east_2_west(8)(14) , Grant => Signal_grant(8)(14));
921
922Arbiter_8_15 : Arbiter
923
924PORT MAP (Request => Request(135), North => south_2_north(7)(15), West => east_2_west(7)(14), P => Signal_priority(22), Fifo_full => Fifo_full(15), 
925South => south_2_north(8)(15), East => east_2_west(8)(15) , Grant => Signal_grant(8)(15));
926
927-------------------------- Diagonale n° 9
928
929
930Arbiter_9_1 : Arbiter
931
932PORT MAP (Request => Request(121), North => south_2_north(8)(1), West => east_2_west(8)(15), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
933South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
934
935Arbiter_9_2 : Arbiter
936
937PORT MAP (Request => Request(107), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
938South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
939
940Arbiter_9_3 : Arbiter
941
942PORT MAP (Request => Request(93), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
943South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
944
945Arbiter_9_4 : Arbiter
946
947PORT MAP (Request => Request(79), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
948South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
949
950Arbiter_9_5 : Arbiter
951
952PORT MAP (Request => Request(65), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
953South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
954
955Arbiter_9_6 : Arbiter
956
957PORT MAP (Request => Request(51), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
958South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
959
960Arbiter_9_7 : Arbiter
961
962PORT MAP (Request => Request(37), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
963South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
964
965Arbiter_9_8 : Arbiter
966
967PORT MAP (Request => Request(23), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
968South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
969
970Arbiter_9_9 : Arbiter
971
972PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
973South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
974
975Arbiter_9_10 : Arbiter
976
977PORT MAP (Request => Request(220), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
978South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
979
980Arbiter_9_11 : Arbiter
981
982PORT MAP (Request => Request(206), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
983South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
984
985Arbiter_9_12 : Arbiter
986
987PORT MAP (Request => Request(192), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
988South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
989
990Arbiter_9_13 : Arbiter
991
992PORT MAP (Request => Request(178), North => south_2_north(8)(13), West => east_2_west(8)(12), P => Signal_priority(21), Fifo_full => Fifo_full(13), 
993South => south_2_north(9)(13), East => east_2_west(9)(13) , Grant => Signal_grant(9)(13));
994
995Arbiter_9_14 : Arbiter
996
997PORT MAP (Request => Request(164), North => south_2_north(8)(14), West => east_2_west(8)(13), P => Signal_priority(21), Fifo_full => Fifo_full(14), 
998South => south_2_north(9)(14), East => east_2_west(9)(14) , Grant => Signal_grant(9)(14));
999
1000Arbiter_9_15 : Arbiter
1001
1002PORT MAP (Request => Request(150), North => south_2_north(8)(15), West => east_2_west(8)(14), P => Signal_priority(21), Fifo_full => Fifo_full(15), 
1003South => south_2_north(9)(15), East => east_2_west(9)(15) , Grant => Signal_grant(9)(15));
1004
1005-------------------------- Diagonale n° 10
1006
1007
1008Arbiter_10_1 : Arbiter
1009
1010PORT MAP (Request => Request(136), North => south_2_north(9)(1), West => east_2_west(9)(15), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
1011South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
1012
1013Arbiter_10_2 : Arbiter
1014
1015PORT MAP (Request => Request(122), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
1016South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
1017
1018Arbiter_10_3 : Arbiter
1019
1020PORT MAP (Request => Request(108), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
1021South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
1022
1023Arbiter_10_4 : Arbiter
1024
1025PORT MAP (Request => Request(94), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
1026South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
1027
1028Arbiter_10_5 : Arbiter
1029
1030PORT MAP (Request => Request(80), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
1031South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
1032
1033Arbiter_10_6 : Arbiter
1034
1035PORT MAP (Request => Request(66), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
1036South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
1037
1038Arbiter_10_7 : Arbiter
1039
1040PORT MAP (Request => Request(52), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
1041South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
1042
1043Arbiter_10_8 : Arbiter
1044
1045PORT MAP (Request => Request(38), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
1046South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
1047
1048Arbiter_10_9 : Arbiter
1049
1050PORT MAP (Request => Request(24), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
1051South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
1052
1053Arbiter_10_10 : Arbiter
1054
1055PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
1056South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
1057
1058Arbiter_10_11 : Arbiter
1059
1060PORT MAP (Request => Request(221), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
1061South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
1062
1063Arbiter_10_12 : Arbiter
1064
1065PORT MAP (Request => Request(207), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
1066South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
1067
1068Arbiter_10_13 : Arbiter
1069
1070PORT MAP (Request => Request(193), North => south_2_north(9)(13), West => east_2_west(9)(12), P => Signal_priority(20), Fifo_full => Fifo_full(13), 
1071South => south_2_north(10)(13), East => east_2_west(10)(13) , Grant => Signal_grant(10)(13));
1072
1073Arbiter_10_14 : Arbiter
1074
1075PORT MAP (Request => Request(179), North => south_2_north(9)(14), West => east_2_west(9)(13), P => Signal_priority(20), Fifo_full => Fifo_full(14), 
1076South => south_2_north(10)(14), East => east_2_west(10)(14) , Grant => Signal_grant(10)(14));
1077
1078Arbiter_10_15 : Arbiter
1079
1080PORT MAP (Request => Request(165), North => south_2_north(9)(15), West => east_2_west(9)(14), P => Signal_priority(20), Fifo_full => Fifo_full(15), 
1081South => south_2_north(10)(15), East => east_2_west(10)(15) , Grant => Signal_grant(10)(15));
1082
1083-------------------------- Diagonale n° 11
1084
1085
1086Arbiter_11_1 : Arbiter
1087
1088PORT MAP (Request => Request(151), North => south_2_north(10)(1), West => east_2_west(10)(15), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
1089South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
1090
1091Arbiter_11_2 : Arbiter
1092
1093PORT MAP (Request => Request(137), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
1094South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
1095
1096Arbiter_11_3 : Arbiter
1097
1098PORT MAP (Request => Request(123), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
1099South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
1100
1101Arbiter_11_4 : Arbiter
1102
1103PORT MAP (Request => Request(109), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
1104South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
1105
1106Arbiter_11_5 : Arbiter
1107
1108PORT MAP (Request => Request(95), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
1109South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
1110
1111Arbiter_11_6 : Arbiter
1112
1113PORT MAP (Request => Request(81), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
1114South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
1115
1116Arbiter_11_7 : Arbiter
1117
1118PORT MAP (Request => Request(67), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
1119South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
1120
1121Arbiter_11_8 : Arbiter
1122
1123PORT MAP (Request => Request(53), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
1124South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
1125
1126Arbiter_11_9 : Arbiter
1127
1128PORT MAP (Request => Request(39), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
1129South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
1130
1131Arbiter_11_10 : Arbiter
1132
1133PORT MAP (Request => Request(25), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
1134South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
1135
1136Arbiter_11_11 : Arbiter
1137
1138PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
1139South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
1140
1141Arbiter_11_12 : Arbiter
1142
1143PORT MAP (Request => Request(222), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
1144South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
1145
1146Arbiter_11_13 : Arbiter
1147
1148PORT MAP (Request => Request(208), North => south_2_north(10)(13), West => east_2_west(10)(12), P => Signal_priority(19), Fifo_full => Fifo_full(13), 
1149South => south_2_north(11)(13), East => east_2_west(11)(13) , Grant => Signal_grant(11)(13));
1150
1151Arbiter_11_14 : Arbiter
1152
1153PORT MAP (Request => Request(194), North => south_2_north(10)(14), West => east_2_west(10)(13), P => Signal_priority(19), Fifo_full => Fifo_full(14), 
1154South => south_2_north(11)(14), East => east_2_west(11)(14) , Grant => Signal_grant(11)(14));
1155
1156Arbiter_11_15 : Arbiter
1157
1158PORT MAP (Request => Request(180), North => south_2_north(10)(15), West => east_2_west(10)(14), P => Signal_priority(19), Fifo_full => Fifo_full(15), 
1159South => south_2_north(11)(15), East => east_2_west(11)(15) , Grant => Signal_grant(11)(15));
1160
1161-------------------------- Diagonale n° 12
1162
1163
1164Arbiter_12_1 : Arbiter
1165
1166PORT MAP (Request => Request(166), North => south_2_north(11)(1), West => east_2_west(11)(15), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
1167South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
1168
1169Arbiter_12_2 : Arbiter
1170
1171PORT MAP (Request => Request(152), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
1172South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
1173
1174Arbiter_12_3 : Arbiter
1175
1176PORT MAP (Request => Request(138), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
1177South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
1178
1179Arbiter_12_4 : Arbiter
1180
1181PORT MAP (Request => Request(124), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
1182South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
1183
1184Arbiter_12_5 : Arbiter
1185
1186PORT MAP (Request => Request(110), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
1187South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
1188
1189Arbiter_12_6 : Arbiter
1190
1191PORT MAP (Request => Request(96), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
1192South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
1193
1194Arbiter_12_7 : Arbiter
1195
1196PORT MAP (Request => Request(82), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
1197South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
1198
1199Arbiter_12_8 : Arbiter
1200
1201PORT MAP (Request => Request(68), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
1202South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
1203
1204Arbiter_12_9 : Arbiter
1205
1206PORT MAP (Request => Request(54), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
1207South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
1208
1209Arbiter_12_10 : Arbiter
1210
1211PORT MAP (Request => Request(40), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
1212South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
1213
1214Arbiter_12_11 : Arbiter
1215
1216PORT MAP (Request => Request(26), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
1217South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
1218
1219Arbiter_12_12 : Arbiter
1220
1221PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
1222South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
1223
1224Arbiter_12_13 : Arbiter
1225
1226PORT MAP (Request => Request(223), North => south_2_north(11)(13), West => east_2_west(11)(12), P => Signal_priority(18), Fifo_full => Fifo_full(13), 
1227South => south_2_north(12)(13), East => east_2_west(12)(13) , Grant => Signal_grant(12)(13));
1228
1229Arbiter_12_14 : Arbiter
1230
1231PORT MAP (Request => Request(209), North => south_2_north(11)(14), West => east_2_west(11)(13), P => Signal_priority(18), Fifo_full => Fifo_full(14), 
1232South => south_2_north(12)(14), East => east_2_west(12)(14) , Grant => Signal_grant(12)(14));
1233
1234Arbiter_12_15 : Arbiter
1235
1236PORT MAP (Request => Request(195), North => south_2_north(11)(15), West => east_2_west(11)(14), P => Signal_priority(18), Fifo_full => Fifo_full(15), 
1237South => south_2_north(12)(15), East => east_2_west(12)(15) , Grant => Signal_grant(12)(15));
1238
1239-------------------------- Diagonale n° 13
1240
1241
1242Arbiter_13_1 : Arbiter
1243
1244PORT MAP (Request => Request(181), North => south_2_north(12)(1), West => east_2_west(12)(15), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
1245South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
1246
1247Arbiter_13_2 : Arbiter
1248
1249PORT MAP (Request => Request(167), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
1250South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
1251
1252Arbiter_13_3 : Arbiter
1253
1254PORT MAP (Request => Request(153), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
1255South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
1256
1257Arbiter_13_4 : Arbiter
1258
1259PORT MAP (Request => Request(139), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
1260South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1261
1262Arbiter_13_5 : Arbiter
1263
1264PORT MAP (Request => Request(125), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
1265South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1266
1267Arbiter_13_6 : Arbiter
1268
1269PORT MAP (Request => Request(111), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
1270South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1271
1272Arbiter_13_7 : Arbiter
1273
1274PORT MAP (Request => Request(97), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
1275South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1276
1277Arbiter_13_8 : Arbiter
1278
1279PORT MAP (Request => Request(83), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
1280South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1281
1282Arbiter_13_9 : Arbiter
1283
1284PORT MAP (Request => Request(69), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
1285South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1286
1287Arbiter_13_10 : Arbiter
1288
1289PORT MAP (Request => Request(55), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
1290South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1291
1292Arbiter_13_11 : Arbiter
1293
1294PORT MAP (Request => Request(41), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
1295South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1296
1297Arbiter_13_12 : Arbiter
1298
1299PORT MAP (Request => Request(27), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
1300South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1301
1302Arbiter_13_13 : Arbiter
1303
1304PORT MAP (Request => Request(13), North => south_2_north(12)(13), West => east_2_west(12)(12), P => Signal_priority(17), Fifo_full => Fifo_full(13), 
1305South => south_2_north(13)(13), East => east_2_west(13)(13) , Grant => Signal_grant(13)(13));
1306
1307Arbiter_13_14 : Arbiter
1308
1309PORT MAP (Request => Request(224), North => south_2_north(12)(14), West => east_2_west(12)(13), P => Signal_priority(17), Fifo_full => Fifo_full(14), 
1310South => south_2_north(13)(14), East => east_2_west(13)(14) , Grant => Signal_grant(13)(14));
1311
1312Arbiter_13_15 : Arbiter
1313
1314PORT MAP (Request => Request(210), North => south_2_north(12)(15), West => east_2_west(12)(14), P => Signal_priority(17), Fifo_full => Fifo_full(15), 
1315South => south_2_north(13)(15), East => east_2_west(13)(15) , Grant => Signal_grant(13)(15));
1316
1317-------------------------- Diagonale n° 14
1318
1319
1320Arbiter_14_1 : Arbiter
1321
1322PORT MAP (Request => Request(196), North => south_2_north(13)(1), West => east_2_west(13)(15), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
1323South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1324
1325Arbiter_14_2 : Arbiter
1326
1327PORT MAP (Request => Request(182), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
1328South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1329
1330Arbiter_14_3 : Arbiter
1331
1332PORT MAP (Request => Request(168), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
1333South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1334
1335Arbiter_14_4 : Arbiter
1336
1337PORT MAP (Request => Request(154), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
1338South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1339
1340Arbiter_14_5 : Arbiter
1341
1342PORT MAP (Request => Request(140), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
1343South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1344
1345Arbiter_14_6 : Arbiter
1346
1347PORT MAP (Request => Request(126), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
1348South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1349
1350Arbiter_14_7 : Arbiter
1351
1352PORT MAP (Request => Request(112), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
1353South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1354
1355Arbiter_14_8 : Arbiter
1356
1357PORT MAP (Request => Request(98), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
1358South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1359
1360Arbiter_14_9 : Arbiter
1361
1362PORT MAP (Request => Request(84), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
1363South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1364
1365Arbiter_14_10 : Arbiter
1366
1367PORT MAP (Request => Request(70), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
1368South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1369
1370Arbiter_14_11 : Arbiter
1371
1372PORT MAP (Request => Request(56), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
1373South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1374
1375Arbiter_14_12 : Arbiter
1376
1377PORT MAP (Request => Request(42), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
1378South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1379
1380Arbiter_14_13 : Arbiter
1381
1382PORT MAP (Request => Request(28), North => south_2_north(13)(13), West => east_2_west(13)(12), P => Signal_priority(16), Fifo_full => Fifo_full(13), 
1383South => south_2_north(14)(13), East => east_2_west(14)(13) , Grant => Signal_grant(14)(13));
1384
1385Arbiter_14_14 : Arbiter
1386
1387PORT MAP (Request => Request(14), North => south_2_north(13)(14), West => east_2_west(13)(13), P => Signal_priority(16), Fifo_full => Fifo_full(14), 
1388South => south_2_north(14)(14), East => east_2_west(14)(14) , Grant => Signal_grant(14)(14));
1389
1390Arbiter_14_15 : Arbiter
1391
1392PORT MAP (Request => Request(225), North => south_2_north(13)(15), West => east_2_west(13)(14), P => Signal_priority(16), Fifo_full => Fifo_full(15), 
1393South => south_2_north(14)(15), East => east_2_west(14)(15) , Grant => Signal_grant(14)(15));
1394
1395-------------------------- Diagonale n° 15
1396
1397
1398Arbiter_15_1 : Arbiter
1399
1400PORT MAP (Request => Request(211), North => south_2_north(14)(1), West => east_2_west(14)(15), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
1401South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1402
1403Arbiter_15_2 : Arbiter
1404
1405PORT MAP (Request => Request(197), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
1406South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1407
1408Arbiter_15_3 : Arbiter
1409
1410PORT MAP (Request => Request(183), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
1411South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1412
1413Arbiter_15_4 : Arbiter
1414
1415PORT MAP (Request => Request(169), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
1416South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1417
1418Arbiter_15_5 : Arbiter
1419
1420PORT MAP (Request => Request(155), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
1421South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1422
1423Arbiter_15_6 : Arbiter
1424
1425PORT MAP (Request => Request(141), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
1426South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1427
1428Arbiter_15_7 : Arbiter
1429
1430PORT MAP (Request => Request(127), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
1431South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1432
1433Arbiter_15_8 : Arbiter
1434
1435PORT MAP (Request => Request(113), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
1436South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1437
1438Arbiter_15_9 : Arbiter
1439
1440PORT MAP (Request => Request(99), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
1441South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1442
1443Arbiter_15_10 : Arbiter
1444
1445PORT MAP (Request => Request(85), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
1446South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1447
1448Arbiter_15_11 : Arbiter
1449
1450PORT MAP (Request => Request(71), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
1451South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1452
1453Arbiter_15_12 : Arbiter
1454
1455PORT MAP (Request => Request(57), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
1456South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1457
1458Arbiter_15_13 : Arbiter
1459
1460PORT MAP (Request => Request(43), North => south_2_north(14)(13), West => east_2_west(14)(12), P => Signal_priority(15), Fifo_full => Fifo_full(13), 
1461South => south_2_north(15)(13), East => east_2_west(15)(13) , Grant => Signal_grant(15)(13));
1462
1463Arbiter_15_14 : Arbiter
1464
1465PORT MAP (Request => Request(29), North => south_2_north(14)(14), West => east_2_west(14)(13), P => Signal_priority(15), Fifo_full => Fifo_full(14), 
1466South => south_2_north(15)(14), East => east_2_west(15)(14) , Grant => Signal_grant(15)(14));
1467
1468Arbiter_15_15 : Arbiter
1469
1470PORT MAP (Request => Request(15), North => south_2_north(14)(15), West => east_2_west(14)(14), P => Signal_priority(15), Fifo_full => Fifo_full(15), 
1471South => south_2_north(15)(15), East => east_2_west(15)(15) , Grant => Signal_grant(15)(15));
1472
1473-------------------------- Diagonale n° 16
1474
1475
1476Arbiter_16_1 : Arbiter
1477
1478PORT MAP (Request => Request(1), North => south_2_north(15)(1), West => east_2_west(15)(15), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
1479South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1480
1481Arbiter_16_2 : Arbiter
1482
1483PORT MAP (Request => Request(212), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
1484South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1485
1486Arbiter_16_3 : Arbiter
1487
1488PORT MAP (Request => Request(198), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
1489South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1490
1491Arbiter_16_4 : Arbiter
1492
1493PORT MAP (Request => Request(184), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
1494South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1495
1496Arbiter_16_5 : Arbiter
1497
1498PORT MAP (Request => Request(170), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
1499South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1500
1501Arbiter_16_6 : Arbiter
1502
1503PORT MAP (Request => Request(156), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
1504South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1505
1506Arbiter_16_7 : Arbiter
1507
1508PORT MAP (Request => Request(142), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
1509South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1510
1511Arbiter_16_8 : Arbiter
1512
1513PORT MAP (Request => Request(128), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
1514South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1515
1516Arbiter_16_9 : Arbiter
1517
1518PORT MAP (Request => Request(114), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
1519South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1520
1521Arbiter_16_10 : Arbiter
1522
1523PORT MAP (Request => Request(100), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
1524South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1525
1526Arbiter_16_11 : Arbiter
1527
1528PORT MAP (Request => Request(86), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
1529South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1530
1531Arbiter_16_12 : Arbiter
1532
1533PORT MAP (Request => Request(72), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
1534South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1535
1536Arbiter_16_13 : Arbiter
1537
1538PORT MAP (Request => Request(58), North => south_2_north(15)(13), West => east_2_west(15)(12), P => Signal_priority(14), Fifo_full => Fifo_full(13), 
1539South => south_2_north(16)(13), East => east_2_west(16)(13) , Grant => Signal_grant(16)(13));
1540
1541Arbiter_16_14 : Arbiter
1542
1543PORT MAP (Request => Request(44), North => south_2_north(15)(14), West => east_2_west(15)(13), P => Signal_priority(14), Fifo_full => Fifo_full(14), 
1544South => south_2_north(16)(14), East => east_2_west(16)(14) , Grant => Signal_grant(16)(14));
1545
1546Arbiter_16_15 : Arbiter
1547
1548PORT MAP (Request => Request(30), North => south_2_north(15)(15), West => east_2_west(15)(14), P => Signal_priority(14), Fifo_full => Fifo_full(15), 
1549South => south_2_north(16)(15), East => east_2_west(16)(15) , Grant => Signal_grant(16)(15));
1550
1551-------------------------- Diagonale n° 17
1552
1553
1554Arbiter_17_1 : Arbiter
1555
1556PORT MAP (Request => Request(16), North => south_2_north(16)(1), West => east_2_west(16)(15), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
1557South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1558
1559Arbiter_17_2 : Arbiter
1560
1561PORT MAP (Request => Request(2), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
1562South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1563
1564Arbiter_17_3 : Arbiter
1565
1566PORT MAP (Request => Request(213), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
1567South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1568
1569Arbiter_17_4 : Arbiter
1570
1571PORT MAP (Request => Request(199), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
1572South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1573
1574Arbiter_17_5 : Arbiter
1575
1576PORT MAP (Request => Request(185), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
1577South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1578
1579Arbiter_17_6 : Arbiter
1580
1581PORT MAP (Request => Request(171), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
1582South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1583
1584Arbiter_17_7 : Arbiter
1585
1586PORT MAP (Request => Request(157), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
1587South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1588
1589Arbiter_17_8 : Arbiter
1590
1591PORT MAP (Request => Request(143), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
1592South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1593
1594Arbiter_17_9 : Arbiter
1595
1596PORT MAP (Request => Request(129), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
1597South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1598
1599Arbiter_17_10 : Arbiter
1600
1601PORT MAP (Request => Request(115), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
1602South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1603
1604Arbiter_17_11 : Arbiter
1605
1606PORT MAP (Request => Request(101), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
1607South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1608
1609Arbiter_17_12 : Arbiter
1610
1611PORT MAP (Request => Request(87), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
1612South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1613
1614Arbiter_17_13 : Arbiter
1615
1616PORT MAP (Request => Request(73), North => south_2_north(16)(13), West => east_2_west(16)(12), P => Signal_priority(13), Fifo_full => Fifo_full(13), 
1617South => south_2_north(17)(13), East => east_2_west(17)(13) , Grant => Signal_grant(17)(13));
1618
1619Arbiter_17_14 : Arbiter
1620
1621PORT MAP (Request => Request(59), North => south_2_north(16)(14), West => east_2_west(16)(13), P => Signal_priority(13), Fifo_full => Fifo_full(14), 
1622South => south_2_north(17)(14), East => east_2_west(17)(14) , Grant => Signal_grant(17)(14));
1623
1624Arbiter_17_15 : Arbiter
1625
1626PORT MAP (Request => Request(45), North => south_2_north(16)(15), West => east_2_west(16)(14), P => Signal_priority(13), Fifo_full => Fifo_full(15), 
1627South => south_2_north(17)(15), East => east_2_west(17)(15) , Grant => Signal_grant(17)(15));
1628
1629-------------------------- Diagonale n° 18
1630
1631
1632Arbiter_18_1 : Arbiter
1633
1634PORT MAP (Request => Request(31), North => south_2_north(17)(1), West => east_2_west(17)(15), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
1635South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1636
1637Arbiter_18_2 : Arbiter
1638
1639PORT MAP (Request => Request(17), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
1640South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1641
1642Arbiter_18_3 : Arbiter
1643
1644PORT MAP (Request => Request(3), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
1645South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1646
1647Arbiter_18_4 : Arbiter
1648
1649PORT MAP (Request => Request(214), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
1650South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1651
1652Arbiter_18_5 : Arbiter
1653
1654PORT MAP (Request => Request(200), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
1655South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1656
1657Arbiter_18_6 : Arbiter
1658
1659PORT MAP (Request => Request(186), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
1660South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1661
1662Arbiter_18_7 : Arbiter
1663
1664PORT MAP (Request => Request(172), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
1665South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1666
1667Arbiter_18_8 : Arbiter
1668
1669PORT MAP (Request => Request(158), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
1670South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1671
1672Arbiter_18_9 : Arbiter
1673
1674PORT MAP (Request => Request(144), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
1675South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1676
1677Arbiter_18_10 : Arbiter
1678
1679PORT MAP (Request => Request(130), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
1680South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1681
1682Arbiter_18_11 : Arbiter
1683
1684PORT MAP (Request => Request(116), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
1685South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1686
1687Arbiter_18_12 : Arbiter
1688
1689PORT MAP (Request => Request(102), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
1690South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1691
1692Arbiter_18_13 : Arbiter
1693
1694PORT MAP (Request => Request(88), North => south_2_north(17)(13), West => east_2_west(17)(12), P => Signal_priority(12), Fifo_full => Fifo_full(13), 
1695South => south_2_north(18)(13), East => east_2_west(18)(13) , Grant => Signal_grant(18)(13));
1696
1697Arbiter_18_14 : Arbiter
1698
1699PORT MAP (Request => Request(74), North => south_2_north(17)(14), West => east_2_west(17)(13), P => Signal_priority(12), Fifo_full => Fifo_full(14), 
1700South => south_2_north(18)(14), East => east_2_west(18)(14) , Grant => Signal_grant(18)(14));
1701
1702Arbiter_18_15 : Arbiter
1703
1704PORT MAP (Request => Request(60), North => south_2_north(17)(15), West => east_2_west(17)(14), P => Signal_priority(12), Fifo_full => Fifo_full(15), 
1705South => south_2_north(18)(15), East => east_2_west(18)(15) , Grant => Signal_grant(18)(15));
1706
1707-------------------------- Diagonale n° 19
1708
1709
1710Arbiter_19_1 : Arbiter
1711
1712PORT MAP (Request => Request(46), North => south_2_north(18)(1), West => east_2_west(18)(15), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
1713South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1714
1715Arbiter_19_2 : Arbiter
1716
1717PORT MAP (Request => Request(32), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
1718South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1719
1720Arbiter_19_3 : Arbiter
1721
1722PORT MAP (Request => Request(18), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
1723South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1724
1725Arbiter_19_4 : Arbiter
1726
1727PORT MAP (Request => Request(4), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
1728South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1729
1730Arbiter_19_5 : Arbiter
1731
1732PORT MAP (Request => Request(215), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
1733South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1734
1735Arbiter_19_6 : Arbiter
1736
1737PORT MAP (Request => Request(201), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
1738South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1739
1740Arbiter_19_7 : Arbiter
1741
1742PORT MAP (Request => Request(187), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
1743South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1744
1745Arbiter_19_8 : Arbiter
1746
1747PORT MAP (Request => Request(173), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
1748South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1749
1750Arbiter_19_9 : Arbiter
1751
1752PORT MAP (Request => Request(159), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
1753South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1754
1755Arbiter_19_10 : Arbiter
1756
1757PORT MAP (Request => Request(145), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
1758South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1759
1760Arbiter_19_11 : Arbiter
1761
1762PORT MAP (Request => Request(131), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
1763South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1764
1765Arbiter_19_12 : Arbiter
1766
1767PORT MAP (Request => Request(117), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
1768South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1769
1770Arbiter_19_13 : Arbiter
1771
1772PORT MAP (Request => Request(103), North => south_2_north(18)(13), West => east_2_west(18)(12), P => Signal_priority(11), Fifo_full => Fifo_full(13), 
1773South => south_2_north(19)(13), East => east_2_west(19)(13) , Grant => Signal_grant(19)(13));
1774
1775Arbiter_19_14 : Arbiter
1776
1777PORT MAP (Request => Request(89), North => south_2_north(18)(14), West => east_2_west(18)(13), P => Signal_priority(11), Fifo_full => Fifo_full(14), 
1778South => south_2_north(19)(14), East => east_2_west(19)(14) , Grant => Signal_grant(19)(14));
1779
1780Arbiter_19_15 : Arbiter
1781
1782PORT MAP (Request => Request(75), North => south_2_north(18)(15), West => east_2_west(18)(14), P => Signal_priority(11), Fifo_full => Fifo_full(15), 
1783South => south_2_north(19)(15), East => east_2_west(19)(15) , Grant => Signal_grant(19)(15));
1784
1785-------------------------- Diagonale n° 20
1786
1787
1788Arbiter_20_1 : Arbiter
1789
1790PORT MAP (Request => Request(61), North => south_2_north(19)(1), West => east_2_west(19)(15), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
1791South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1792
1793Arbiter_20_2 : Arbiter
1794
1795PORT MAP (Request => Request(47), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
1796South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1797
1798Arbiter_20_3 : Arbiter
1799
1800PORT MAP (Request => Request(33), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
1801South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1802
1803Arbiter_20_4 : Arbiter
1804
1805PORT MAP (Request => Request(19), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
1806South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1807
1808Arbiter_20_5 : Arbiter
1809
1810PORT MAP (Request => Request(5), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
1811South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1812
1813Arbiter_20_6 : Arbiter
1814
1815PORT MAP (Request => Request(216), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
1816South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1817
1818Arbiter_20_7 : Arbiter
1819
1820PORT MAP (Request => Request(202), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
1821South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1822
1823Arbiter_20_8 : Arbiter
1824
1825PORT MAP (Request => Request(188), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
1826South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1827
1828Arbiter_20_9 : Arbiter
1829
1830PORT MAP (Request => Request(174), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
1831South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1832
1833Arbiter_20_10 : Arbiter
1834
1835PORT MAP (Request => Request(160), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
1836South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1837
1838Arbiter_20_11 : Arbiter
1839
1840PORT MAP (Request => Request(146), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
1841South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1842
1843Arbiter_20_12 : Arbiter
1844
1845PORT MAP (Request => Request(132), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
1846South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1847
1848Arbiter_20_13 : Arbiter
1849
1850PORT MAP (Request => Request(118), North => south_2_north(19)(13), West => east_2_west(19)(12), P => Signal_priority(10), Fifo_full => Fifo_full(13), 
1851South => south_2_north(20)(13), East => east_2_west(20)(13) , Grant => Signal_grant(20)(13));
1852
1853Arbiter_20_14 : Arbiter
1854
1855PORT MAP (Request => Request(104), North => south_2_north(19)(14), West => east_2_west(19)(13), P => Signal_priority(10), Fifo_full => Fifo_full(14), 
1856South => south_2_north(20)(14), East => east_2_west(20)(14) , Grant => Signal_grant(20)(14));
1857
1858Arbiter_20_15 : Arbiter
1859
1860PORT MAP (Request => Request(90), North => south_2_north(19)(15), West => east_2_west(19)(14), P => Signal_priority(10), Fifo_full => Fifo_full(15), 
1861South => south_2_north(20)(15), East => east_2_west(20)(15) , Grant => Signal_grant(20)(15));
1862
1863-------------------------- Diagonale n° 21
1864
1865
1866Arbiter_21_1 : Arbiter
1867
1868PORT MAP (Request => Request(76), North => south_2_north(20)(1), West => east_2_west(20)(15), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
1869South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
1870
1871Arbiter_21_2 : Arbiter
1872
1873PORT MAP (Request => Request(62), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
1874South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
1875
1876Arbiter_21_3 : Arbiter
1877
1878PORT MAP (Request => Request(48), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
1879South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
1880
1881Arbiter_21_4 : Arbiter
1882
1883PORT MAP (Request => Request(34), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
1884South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
1885
1886Arbiter_21_5 : Arbiter
1887
1888PORT MAP (Request => Request(20), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
1889South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
1890
1891Arbiter_21_6 : Arbiter
1892
1893PORT MAP (Request => Request(6), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
1894South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
1895
1896Arbiter_21_7 : Arbiter
1897
1898PORT MAP (Request => Request(217), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
1899South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
1900
1901Arbiter_21_8 : Arbiter
1902
1903PORT MAP (Request => Request(203), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
1904South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
1905
1906Arbiter_21_9 : Arbiter
1907
1908PORT MAP (Request => Request(189), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
1909South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
1910
1911Arbiter_21_10 : Arbiter
1912
1913PORT MAP (Request => Request(175), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
1914South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
1915
1916Arbiter_21_11 : Arbiter
1917
1918PORT MAP (Request => Request(161), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
1919South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
1920
1921Arbiter_21_12 : Arbiter
1922
1923PORT MAP (Request => Request(147), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
1924South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
1925
1926Arbiter_21_13 : Arbiter
1927
1928PORT MAP (Request => Request(133), North => south_2_north(20)(13), West => east_2_west(20)(12), P => Signal_priority(9), Fifo_full => Fifo_full(13), 
1929South => south_2_north(21)(13), East => east_2_west(21)(13) , Grant => Signal_grant(21)(13));
1930
1931Arbiter_21_14 : Arbiter
1932
1933PORT MAP (Request => Request(119), North => south_2_north(20)(14), West => east_2_west(20)(13), P => Signal_priority(9), Fifo_full => Fifo_full(14), 
1934South => south_2_north(21)(14), East => east_2_west(21)(14) , Grant => Signal_grant(21)(14));
1935
1936Arbiter_21_15 : Arbiter
1937
1938PORT MAP (Request => Request(105), North => south_2_north(20)(15), West => east_2_west(20)(14), P => Signal_priority(9), Fifo_full => Fifo_full(15), 
1939South => south_2_north(21)(15), East => east_2_west(21)(15) , Grant => Signal_grant(21)(15));
1940
1941-------------------------- Diagonale n° 22
1942
1943
1944Arbiter_22_1 : Arbiter
1945
1946PORT MAP (Request => Request(91), North => south_2_north(21)(1), West => east_2_west(21)(15), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
1947South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
1948
1949Arbiter_22_2 : Arbiter
1950
1951PORT MAP (Request => Request(77), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
1952South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
1953
1954Arbiter_22_3 : Arbiter
1955
1956PORT MAP (Request => Request(63), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
1957South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
1958
1959Arbiter_22_4 : Arbiter
1960
1961PORT MAP (Request => Request(49), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
1962South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
1963
1964Arbiter_22_5 : Arbiter
1965
1966PORT MAP (Request => Request(35), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
1967South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
1968
1969Arbiter_22_6 : Arbiter
1970
1971PORT MAP (Request => Request(21), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
1972South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
1973
1974Arbiter_22_7 : Arbiter
1975
1976PORT MAP (Request => Request(7), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
1977South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
1978
1979Arbiter_22_8 : Arbiter
1980
1981PORT MAP (Request => Request(218), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
1982South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
1983
1984Arbiter_22_9 : Arbiter
1985
1986PORT MAP (Request => Request(204), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
1987South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
1988
1989Arbiter_22_10 : Arbiter
1990
1991PORT MAP (Request => Request(190), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
1992South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
1993
1994Arbiter_22_11 : Arbiter
1995
1996PORT MAP (Request => Request(176), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
1997South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
1998
1999Arbiter_22_12 : Arbiter
2000
2001PORT MAP (Request => Request(162), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
2002South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
2003
2004Arbiter_22_13 : Arbiter
2005
2006PORT MAP (Request => Request(148), North => south_2_north(21)(13), West => east_2_west(21)(12), P => Signal_priority(8), Fifo_full => Fifo_full(13), 
2007South => south_2_north(22)(13), East => east_2_west(22)(13) , Grant => Signal_grant(22)(13));
2008
2009Arbiter_22_14 : Arbiter
2010
2011PORT MAP (Request => Request(134), North => south_2_north(21)(14), West => east_2_west(21)(13), P => Signal_priority(8), Fifo_full => Fifo_full(14), 
2012South => south_2_north(22)(14), East => east_2_west(22)(14) , Grant => Signal_grant(22)(14));
2013
2014Arbiter_22_15 : Arbiter
2015
2016PORT MAP (Request => Request(120), North => south_2_north(21)(15), West => east_2_west(21)(14), P => Signal_priority(8), Fifo_full => Fifo_full(15), 
2017South => south_2_north(22)(15), East => east_2_west(22)(15) , Grant => Signal_grant(22)(15));
2018
2019-------------------------- Diagonale n° 23
2020
2021
2022Arbiter_23_1 : Arbiter
2023
2024PORT MAP (Request => Request(106), North => south_2_north(22)(1), West => east_2_west(22)(15), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
2025South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
2026
2027Arbiter_23_2 : Arbiter
2028
2029PORT MAP (Request => Request(92), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
2030South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
2031
2032Arbiter_23_3 : Arbiter
2033
2034PORT MAP (Request => Request(78), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
2035South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
2036
2037Arbiter_23_4 : Arbiter
2038
2039PORT MAP (Request => Request(64), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
2040South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
2041
2042Arbiter_23_5 : Arbiter
2043
2044PORT MAP (Request => Request(50), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
2045South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
2046
2047Arbiter_23_6 : Arbiter
2048
2049PORT MAP (Request => Request(36), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
2050South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
2051
2052Arbiter_23_7 : Arbiter
2053
2054PORT MAP (Request => Request(22), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
2055South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
2056
2057Arbiter_23_8 : Arbiter
2058
2059PORT MAP (Request => Request(8), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
2060South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
2061
2062Arbiter_23_9 : Arbiter
2063
2064PORT MAP (Request => Request(219), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
2065South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
2066
2067Arbiter_23_10 : Arbiter
2068
2069PORT MAP (Request => Request(205), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
2070South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
2071
2072Arbiter_23_11 : Arbiter
2073
2074PORT MAP (Request => Request(191), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
2075South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
2076
2077Arbiter_23_12 : Arbiter
2078
2079PORT MAP (Request => Request(177), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
2080South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
2081
2082Arbiter_23_13 : Arbiter
2083
2084PORT MAP (Request => Request(163), North => south_2_north(22)(13), West => east_2_west(22)(12), P => Signal_priority(7), Fifo_full => Fifo_full(13), 
2085South => south_2_north(23)(13), East => east_2_west(23)(13) , Grant => Signal_grant(23)(13));
2086
2087Arbiter_23_14 : Arbiter
2088
2089PORT MAP (Request => Request(149), North => south_2_north(22)(14), West => east_2_west(22)(13), P => Signal_priority(7), Fifo_full => Fifo_full(14), 
2090South => south_2_north(23)(14), East => east_2_west(23)(14) , Grant => Signal_grant(23)(14));
2091
2092Arbiter_23_15 : Arbiter
2093
2094PORT MAP (Request => Request(135), North => south_2_north(22)(15), West => east_2_west(22)(14), P => Signal_priority(7), Fifo_full => Fifo_full(15), 
2095South => south_2_north(23)(15), East => east_2_west(23)(15) , Grant => Signal_grant(23)(15));
2096
2097-------------------------- Diagonale n° 24
2098
2099
2100Arbiter_24_1 : Arbiter
2101
2102PORT MAP (Request => Request(121), North => south_2_north(23)(1), West => east_2_west(23)(15), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
2103South => south_2_north(24)(1), East => east_2_west(24)(1) , Grant => Signal_grant(24)(1));
2104
2105Arbiter_24_2 : Arbiter
2106
2107PORT MAP (Request => Request(107), North => south_2_north(23)(2), West => east_2_west(23)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
2108South => south_2_north(24)(2), East => east_2_west(24)(2) , Grant => Signal_grant(24)(2));
2109
2110Arbiter_24_3 : Arbiter
2111
2112PORT MAP (Request => Request(93), North => south_2_north(23)(3), West => east_2_west(23)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
2113South => south_2_north(24)(3), East => east_2_west(24)(3) , Grant => Signal_grant(24)(3));
2114
2115Arbiter_24_4 : Arbiter
2116
2117PORT MAP (Request => Request(79), North => south_2_north(23)(4), West => east_2_west(23)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
2118South => south_2_north(24)(4), East => east_2_west(24)(4) , Grant => Signal_grant(24)(4));
2119
2120Arbiter_24_5 : Arbiter
2121
2122PORT MAP (Request => Request(65), North => south_2_north(23)(5), West => east_2_west(23)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
2123South => south_2_north(24)(5), East => east_2_west(24)(5) , Grant => Signal_grant(24)(5));
2124
2125Arbiter_24_6 : Arbiter
2126
2127PORT MAP (Request => Request(51), North => south_2_north(23)(6), West => east_2_west(23)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
2128South => south_2_north(24)(6), East => east_2_west(24)(6) , Grant => Signal_grant(24)(6));
2129
2130Arbiter_24_7 : Arbiter
2131
2132PORT MAP (Request => Request(37), North => south_2_north(23)(7), West => east_2_west(23)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
2133South => south_2_north(24)(7), East => east_2_west(24)(7) , Grant => Signal_grant(24)(7));
2134
2135Arbiter_24_8 : Arbiter
2136
2137PORT MAP (Request => Request(23), North => south_2_north(23)(8), West => east_2_west(23)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
2138South => south_2_north(24)(8), East => east_2_west(24)(8) , Grant => Signal_grant(24)(8));
2139
2140Arbiter_24_9 : Arbiter
2141
2142PORT MAP (Request => Request(9), North => south_2_north(23)(9), West => east_2_west(23)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
2143South => south_2_north(24)(9), East => east_2_west(24)(9) , Grant => Signal_grant(24)(9));
2144
2145Arbiter_24_10 : Arbiter
2146
2147PORT MAP (Request => Request(220), North => south_2_north(23)(10), West => east_2_west(23)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
2148South => south_2_north(24)(10), East => east_2_west(24)(10) , Grant => Signal_grant(24)(10));
2149
2150Arbiter_24_11 : Arbiter
2151
2152PORT MAP (Request => Request(206), North => south_2_north(23)(11), West => east_2_west(23)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
2153South => south_2_north(24)(11), East => east_2_west(24)(11) , Grant => Signal_grant(24)(11));
2154
2155Arbiter_24_12 : Arbiter
2156
2157PORT MAP (Request => Request(192), North => south_2_north(23)(12), West => east_2_west(23)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
2158South => south_2_north(24)(12), East => east_2_west(24)(12) , Grant => Signal_grant(24)(12));
2159
2160Arbiter_24_13 : Arbiter
2161
2162PORT MAP (Request => Request(178), North => south_2_north(23)(13), West => east_2_west(23)(12), P => Signal_priority(6), Fifo_full => Fifo_full(13), 
2163South => south_2_north(24)(13), East => east_2_west(24)(13) , Grant => Signal_grant(24)(13));
2164
2165Arbiter_24_14 : Arbiter
2166
2167PORT MAP (Request => Request(164), North => south_2_north(23)(14), West => east_2_west(23)(13), P => Signal_priority(6), Fifo_full => Fifo_full(14), 
2168South => south_2_north(24)(14), East => east_2_west(24)(14) , Grant => Signal_grant(24)(14));
2169
2170Arbiter_24_15 : Arbiter
2171
2172PORT MAP (Request => Request(150), North => south_2_north(23)(15), West => east_2_west(23)(14), P => Signal_priority(6), Fifo_full => Fifo_full(15), 
2173South => south_2_north(24)(15), East => east_2_west(24)(15) , Grant => Signal_grant(24)(15));
2174
2175-------------------------- Diagonale n° 25
2176
2177
2178Arbiter_25_1 : Arbiter
2179
2180PORT MAP (Request => Request(136), North => south_2_north(24)(1), West => east_2_west(24)(15), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
2181South => south_2_north(25)(1), East => east_2_west(25)(1) , Grant => Signal_grant(25)(1));
2182
2183Arbiter_25_2 : Arbiter
2184
2185PORT MAP (Request => Request(122), North => south_2_north(24)(2), West => east_2_west(24)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
2186South => south_2_north(25)(2), East => east_2_west(25)(2) , Grant => Signal_grant(25)(2));
2187
2188Arbiter_25_3 : Arbiter
2189
2190PORT MAP (Request => Request(108), North => south_2_north(24)(3), West => east_2_west(24)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
2191South => south_2_north(25)(3), East => east_2_west(25)(3) , Grant => Signal_grant(25)(3));
2192
2193Arbiter_25_4 : Arbiter
2194
2195PORT MAP (Request => Request(94), North => south_2_north(24)(4), West => east_2_west(24)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
2196South => south_2_north(25)(4), East => east_2_west(25)(4) , Grant => Signal_grant(25)(4));
2197
2198Arbiter_25_5 : Arbiter
2199
2200PORT MAP (Request => Request(80), North => south_2_north(24)(5), West => east_2_west(24)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
2201South => south_2_north(25)(5), East => east_2_west(25)(5) , Grant => Signal_grant(25)(5));
2202
2203Arbiter_25_6 : Arbiter
2204
2205PORT MAP (Request => Request(66), North => south_2_north(24)(6), West => east_2_west(24)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
2206South => south_2_north(25)(6), East => east_2_west(25)(6) , Grant => Signal_grant(25)(6));
2207
2208Arbiter_25_7 : Arbiter
2209
2210PORT MAP (Request => Request(52), North => south_2_north(24)(7), West => east_2_west(24)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
2211South => south_2_north(25)(7), East => east_2_west(25)(7) , Grant => Signal_grant(25)(7));
2212
2213Arbiter_25_8 : Arbiter
2214
2215PORT MAP (Request => Request(38), North => south_2_north(24)(8), West => east_2_west(24)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
2216South => south_2_north(25)(8), East => east_2_west(25)(8) , Grant => Signal_grant(25)(8));
2217
2218Arbiter_25_9 : Arbiter
2219
2220PORT MAP (Request => Request(24), North => south_2_north(24)(9), West => east_2_west(24)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
2221South => south_2_north(25)(9), East => east_2_west(25)(9) , Grant => Signal_grant(25)(9));
2222
2223Arbiter_25_10 : Arbiter
2224
2225PORT MAP (Request => Request(10), North => south_2_north(24)(10), West => east_2_west(24)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
2226South => south_2_north(25)(10), East => east_2_west(25)(10) , Grant => Signal_grant(25)(10));
2227
2228Arbiter_25_11 : Arbiter
2229
2230PORT MAP (Request => Request(221), North => south_2_north(24)(11), West => east_2_west(24)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
2231South => south_2_north(25)(11), East => east_2_west(25)(11) , Grant => Signal_grant(25)(11));
2232
2233Arbiter_25_12 : Arbiter
2234
2235PORT MAP (Request => Request(207), North => south_2_north(24)(12), West => east_2_west(24)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
2236South => south_2_north(25)(12), East => east_2_west(25)(12) , Grant => Signal_grant(25)(12));
2237
2238Arbiter_25_13 : Arbiter
2239
2240PORT MAP (Request => Request(193), North => south_2_north(24)(13), West => east_2_west(24)(12), P => Signal_priority(5), Fifo_full => Fifo_full(13), 
2241South => south_2_north(25)(13), East => east_2_west(25)(13) , Grant => Signal_grant(25)(13));
2242
2243Arbiter_25_14 : Arbiter
2244
2245PORT MAP (Request => Request(179), North => south_2_north(24)(14), West => east_2_west(24)(13), P => Signal_priority(5), Fifo_full => Fifo_full(14), 
2246South => south_2_north(25)(14), East => east_2_west(25)(14) , Grant => Signal_grant(25)(14));
2247
2248Arbiter_25_15 : Arbiter
2249
2250PORT MAP (Request => Request(165), North => south_2_north(24)(15), West => east_2_west(24)(14), P => Signal_priority(5), Fifo_full => Fifo_full(15), 
2251South => south_2_north(25)(15), East => east_2_west(25)(15) , Grant => Signal_grant(25)(15));
2252
2253-------------------------- Diagonale n° 26
2254
2255
2256Arbiter_26_1 : Arbiter
2257
2258PORT MAP (Request => Request(151), North => south_2_north(25)(1), West => east_2_west(25)(15), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
2259South => south_2_north(26)(1), East => east_2_west(26)(1) , Grant => Signal_grant(26)(1));
2260
2261Arbiter_26_2 : Arbiter
2262
2263PORT MAP (Request => Request(137), North => south_2_north(25)(2), West => east_2_west(25)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
2264South => south_2_north(26)(2), East => east_2_west(26)(2) , Grant => Signal_grant(26)(2));
2265
2266Arbiter_26_3 : Arbiter
2267
2268PORT MAP (Request => Request(123), North => south_2_north(25)(3), West => east_2_west(25)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
2269South => south_2_north(26)(3), East => east_2_west(26)(3) , Grant => Signal_grant(26)(3));
2270
2271Arbiter_26_4 : Arbiter
2272
2273PORT MAP (Request => Request(109), North => south_2_north(25)(4), West => east_2_west(25)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
2274South => south_2_north(26)(4), East => east_2_west(26)(4) , Grant => Signal_grant(26)(4));
2275
2276Arbiter_26_5 : Arbiter
2277
2278PORT MAP (Request => Request(95), North => south_2_north(25)(5), West => east_2_west(25)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
2279South => south_2_north(26)(5), East => east_2_west(26)(5) , Grant => Signal_grant(26)(5));
2280
2281Arbiter_26_6 : Arbiter
2282
2283PORT MAP (Request => Request(81), North => south_2_north(25)(6), West => east_2_west(25)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
2284South => south_2_north(26)(6), East => east_2_west(26)(6) , Grant => Signal_grant(26)(6));
2285
2286Arbiter_26_7 : Arbiter
2287
2288PORT MAP (Request => Request(67), North => south_2_north(25)(7), West => east_2_west(25)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
2289South => south_2_north(26)(7), East => east_2_west(26)(7) , Grant => Signal_grant(26)(7));
2290
2291Arbiter_26_8 : Arbiter
2292
2293PORT MAP (Request => Request(53), North => south_2_north(25)(8), West => east_2_west(25)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
2294South => south_2_north(26)(8), East => east_2_west(26)(8) , Grant => Signal_grant(26)(8));
2295
2296Arbiter_26_9 : Arbiter
2297
2298PORT MAP (Request => Request(39), North => south_2_north(25)(9), West => east_2_west(25)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
2299South => south_2_north(26)(9), East => east_2_west(26)(9) , Grant => Signal_grant(26)(9));
2300
2301Arbiter_26_10 : Arbiter
2302
2303PORT MAP (Request => Request(25), North => south_2_north(25)(10), West => east_2_west(25)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
2304South => south_2_north(26)(10), East => east_2_west(26)(10) , Grant => Signal_grant(26)(10));
2305
2306Arbiter_26_11 : Arbiter
2307
2308PORT MAP (Request => Request(11), North => south_2_north(25)(11), West => east_2_west(25)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
2309South => south_2_north(26)(11), East => east_2_west(26)(11) , Grant => Signal_grant(26)(11));
2310
2311Arbiter_26_12 : Arbiter
2312
2313PORT MAP (Request => Request(222), North => south_2_north(25)(12), West => east_2_west(25)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
2314South => south_2_north(26)(12), East => east_2_west(26)(12) , Grant => Signal_grant(26)(12));
2315
2316Arbiter_26_13 : Arbiter
2317
2318PORT MAP (Request => Request(208), North => south_2_north(25)(13), West => east_2_west(25)(12), P => Signal_priority(4), Fifo_full => Fifo_full(13), 
2319South => south_2_north(26)(13), East => east_2_west(26)(13) , Grant => Signal_grant(26)(13));
2320
2321Arbiter_26_14 : Arbiter
2322
2323PORT MAP (Request => Request(194), North => south_2_north(25)(14), West => east_2_west(25)(13), P => Signal_priority(4), Fifo_full => Fifo_full(14), 
2324South => south_2_north(26)(14), East => east_2_west(26)(14) , Grant => Signal_grant(26)(14));
2325
2326Arbiter_26_15 : Arbiter
2327
2328PORT MAP (Request => Request(180), North => south_2_north(25)(15), West => east_2_west(25)(14), P => Signal_priority(4), Fifo_full => Fifo_full(15), 
2329South => south_2_north(26)(15), East => east_2_west(26)(15) , Grant => Signal_grant(26)(15));
2330
2331-------------------------- Diagonale n° 27
2332
2333
2334Arbiter_27_1 : Arbiter
2335
2336PORT MAP (Request => Request(166), North => south_2_north(26)(1), West => east_2_west(26)(15), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
2337South => south_2_north(27)(1), East => east_2_west(27)(1) , Grant => Signal_grant(27)(1));
2338
2339Arbiter_27_2 : Arbiter
2340
2341PORT MAP (Request => Request(152), North => south_2_north(26)(2), West => east_2_west(26)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
2342South => south_2_north(27)(2), East => east_2_west(27)(2) , Grant => Signal_grant(27)(2));
2343
2344Arbiter_27_3 : Arbiter
2345
2346PORT MAP (Request => Request(138), North => south_2_north(26)(3), West => east_2_west(26)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
2347South => south_2_north(27)(3), East => east_2_west(27)(3) , Grant => Signal_grant(27)(3));
2348
2349Arbiter_27_4 : Arbiter
2350
2351PORT MAP (Request => Request(124), North => south_2_north(26)(4), West => east_2_west(26)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
2352South => south_2_north(27)(4), East => east_2_west(27)(4) , Grant => Signal_grant(27)(4));
2353
2354Arbiter_27_5 : Arbiter
2355
2356PORT MAP (Request => Request(110), North => south_2_north(26)(5), West => east_2_west(26)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
2357South => south_2_north(27)(5), East => east_2_west(27)(5) , Grant => Signal_grant(27)(5));
2358
2359Arbiter_27_6 : Arbiter
2360
2361PORT MAP (Request => Request(96), North => south_2_north(26)(6), West => east_2_west(26)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
2362South => south_2_north(27)(6), East => east_2_west(27)(6) , Grant => Signal_grant(27)(6));
2363
2364Arbiter_27_7 : Arbiter
2365
2366PORT MAP (Request => Request(82), North => south_2_north(26)(7), West => east_2_west(26)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
2367South => south_2_north(27)(7), East => east_2_west(27)(7) , Grant => Signal_grant(27)(7));
2368
2369Arbiter_27_8 : Arbiter
2370
2371PORT MAP (Request => Request(68), North => south_2_north(26)(8), West => east_2_west(26)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
2372South => south_2_north(27)(8), East => east_2_west(27)(8) , Grant => Signal_grant(27)(8));
2373
2374Arbiter_27_9 : Arbiter
2375
2376PORT MAP (Request => Request(54), North => south_2_north(26)(9), West => east_2_west(26)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
2377South => south_2_north(27)(9), East => east_2_west(27)(9) , Grant => Signal_grant(27)(9));
2378
2379Arbiter_27_10 : Arbiter
2380
2381PORT MAP (Request => Request(40), North => south_2_north(26)(10), West => east_2_west(26)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
2382South => south_2_north(27)(10), East => east_2_west(27)(10) , Grant => Signal_grant(27)(10));
2383
2384Arbiter_27_11 : Arbiter
2385
2386PORT MAP (Request => Request(26), North => south_2_north(26)(11), West => east_2_west(26)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
2387South => south_2_north(27)(11), East => east_2_west(27)(11) , Grant => Signal_grant(27)(11));
2388
2389Arbiter_27_12 : Arbiter
2390
2391PORT MAP (Request => Request(12), North => south_2_north(26)(12), West => east_2_west(26)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
2392South => south_2_north(27)(12), East => east_2_west(27)(12) , Grant => Signal_grant(27)(12));
2393
2394Arbiter_27_13 : Arbiter
2395
2396PORT MAP (Request => Request(223), North => south_2_north(26)(13), West => east_2_west(26)(12), P => Signal_priority(3), Fifo_full => Fifo_full(13), 
2397South => south_2_north(27)(13), East => east_2_west(27)(13) , Grant => Signal_grant(27)(13));
2398
2399Arbiter_27_14 : Arbiter
2400
2401PORT MAP (Request => Request(209), North => south_2_north(26)(14), West => east_2_west(26)(13), P => Signal_priority(3), Fifo_full => Fifo_full(14), 
2402South => south_2_north(27)(14), East => east_2_west(27)(14) , Grant => Signal_grant(27)(14));
2403
2404Arbiter_27_15 : Arbiter
2405
2406PORT MAP (Request => Request(195), North => south_2_north(26)(15), West => east_2_west(26)(14), P => Signal_priority(3), Fifo_full => Fifo_full(15), 
2407South => south_2_north(27)(15), East => east_2_west(27)(15) , Grant => Signal_grant(27)(15));
2408
2409-------------------------- Diagonale n° 28
2410
2411
2412Arbiter_28_1 : Arbiter
2413
2414PORT MAP (Request => Request(181), North => south_2_north(27)(1), West => east_2_west(27)(15), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
2415South => south_2_north(28)(1), East => east_2_west(28)(1) , Grant => Signal_grant(28)(1));
2416
2417Arbiter_28_2 : Arbiter
2418
2419PORT MAP (Request => Request(167), North => south_2_north(27)(2), West => east_2_west(27)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
2420South => south_2_north(28)(2), East => east_2_west(28)(2) , Grant => Signal_grant(28)(2));
2421
2422Arbiter_28_3 : Arbiter
2423
2424PORT MAP (Request => Request(153), North => south_2_north(27)(3), West => east_2_west(27)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
2425South => south_2_north(28)(3), East => east_2_west(28)(3) , Grant => Signal_grant(28)(3));
2426
2427Arbiter_28_4 : Arbiter
2428
2429PORT MAP (Request => Request(139), North => south_2_north(27)(4), West => east_2_west(27)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
2430South => south_2_north(28)(4), East => east_2_west(28)(4) , Grant => Signal_grant(28)(4));
2431
2432Arbiter_28_5 : Arbiter
2433
2434PORT MAP (Request => Request(125), North => south_2_north(27)(5), West => east_2_west(27)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
2435South => south_2_north(28)(5), East => east_2_west(28)(5) , Grant => Signal_grant(28)(5));
2436
2437Arbiter_28_6 : Arbiter
2438
2439PORT MAP (Request => Request(111), North => south_2_north(27)(6), West => east_2_west(27)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
2440South => south_2_north(28)(6), East => east_2_west(28)(6) , Grant => Signal_grant(28)(6));
2441
2442Arbiter_28_7 : Arbiter
2443
2444PORT MAP (Request => Request(97), North => south_2_north(27)(7), West => east_2_west(27)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
2445South => south_2_north(28)(7), East => east_2_west(28)(7) , Grant => Signal_grant(28)(7));
2446
2447Arbiter_28_8 : Arbiter
2448
2449PORT MAP (Request => Request(83), North => south_2_north(27)(8), West => east_2_west(27)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
2450South => south_2_north(28)(8), East => east_2_west(28)(8) , Grant => Signal_grant(28)(8));
2451
2452Arbiter_28_9 : Arbiter
2453
2454PORT MAP (Request => Request(69), North => south_2_north(27)(9), West => east_2_west(27)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
2455South => south_2_north(28)(9), East => east_2_west(28)(9) , Grant => Signal_grant(28)(9));
2456
2457Arbiter_28_10 : Arbiter
2458
2459PORT MAP (Request => Request(55), North => south_2_north(27)(10), West => east_2_west(27)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
2460South => south_2_north(28)(10), East => east_2_west(28)(10) , Grant => Signal_grant(28)(10));
2461
2462Arbiter_28_11 : Arbiter
2463
2464PORT MAP (Request => Request(41), North => south_2_north(27)(11), West => east_2_west(27)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
2465South => south_2_north(28)(11), East => east_2_west(28)(11) , Grant => Signal_grant(28)(11));
2466
2467Arbiter_28_12 : Arbiter
2468
2469PORT MAP (Request => Request(27), North => south_2_north(27)(12), West => east_2_west(27)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
2470South => south_2_north(28)(12), East => east_2_west(28)(12) , Grant => Signal_grant(28)(12));
2471
2472Arbiter_28_13 : Arbiter
2473
2474PORT MAP (Request => Request(13), North => south_2_north(27)(13), West => east_2_west(27)(12), P => Signal_priority(2), Fifo_full => Fifo_full(13), 
2475South => south_2_north(28)(13), East => east_2_west(28)(13) , Grant => Signal_grant(28)(13));
2476
2477Arbiter_28_14 : Arbiter
2478
2479PORT MAP (Request => Request(224), North => south_2_north(27)(14), West => east_2_west(27)(13), P => Signal_priority(2), Fifo_full => Fifo_full(14), 
2480South => south_2_north(28)(14), East => east_2_west(28)(14) , Grant => Signal_grant(28)(14));
2481
2482Arbiter_28_15 : Arbiter
2483
2484PORT MAP (Request => Request(210), North => south_2_north(27)(15), West => east_2_west(27)(14), P => Signal_priority(2), Fifo_full => Fifo_full(15), 
2485South => south_2_north(28)(15), East => east_2_west(28)(15) , Grant => Signal_grant(28)(15));
2486
2487-------------------------- Diagonale n° 29
2488
2489
2490Arbiter_29_1 : Arbiter
2491
2492PORT MAP (Request => Request(196), North => south_2_north(28)(1), West => east_2_west(28)(15), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
2493South => south_2_north(29)(1), East => east_2_west(29)(1) , Grant => Signal_grant(29)(1));
2494
2495Arbiter_29_2 : Arbiter
2496
2497PORT MAP (Request => Request(182), North => south_2_north(28)(2), West => east_2_west(28)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
2498South => south_2_north(29)(2), East => east_2_west(29)(2) , Grant => Signal_grant(29)(2));
2499
2500Arbiter_29_3 : Arbiter
2501
2502PORT MAP (Request => Request(168), North => south_2_north(28)(3), West => east_2_west(28)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
2503South => south_2_north(29)(3), East => east_2_west(29)(3) , Grant => Signal_grant(29)(3));
2504
2505Arbiter_29_4 : Arbiter
2506
2507PORT MAP (Request => Request(154), North => south_2_north(28)(4), West => east_2_west(28)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
2508South => south_2_north(29)(4), East => east_2_west(29)(4) , Grant => Signal_grant(29)(4));
2509
2510Arbiter_29_5 : Arbiter
2511
2512PORT MAP (Request => Request(140), North => south_2_north(28)(5), West => east_2_west(28)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
2513South => south_2_north(29)(5), East => east_2_west(29)(5) , Grant => Signal_grant(29)(5));
2514
2515Arbiter_29_6 : Arbiter
2516
2517PORT MAP (Request => Request(126), North => south_2_north(28)(6), West => east_2_west(28)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
2518South => south_2_north(29)(6), East => east_2_west(29)(6) , Grant => Signal_grant(29)(6));
2519
2520Arbiter_29_7 : Arbiter
2521
2522PORT MAP (Request => Request(112), North => south_2_north(28)(7), West => east_2_west(28)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
2523South => south_2_north(29)(7), East => east_2_west(29)(7) , Grant => Signal_grant(29)(7));
2524
2525Arbiter_29_8 : Arbiter
2526
2527PORT MAP (Request => Request(98), North => south_2_north(28)(8), West => east_2_west(28)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
2528South => south_2_north(29)(8), East => east_2_west(29)(8) , Grant => Signal_grant(29)(8));
2529
2530Arbiter_29_9 : Arbiter
2531
2532PORT MAP (Request => Request(84), North => south_2_north(28)(9), West => east_2_west(28)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
2533South => south_2_north(29)(9), East => east_2_west(29)(9) , Grant => Signal_grant(29)(9));
2534
2535Arbiter_29_10 : Arbiter
2536
2537PORT MAP (Request => Request(70), North => south_2_north(28)(10), West => east_2_west(28)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
2538South => south_2_north(29)(10), East => east_2_west(29)(10) , Grant => Signal_grant(29)(10));
2539
2540Arbiter_29_11 : Arbiter
2541
2542PORT MAP (Request => Request(56), North => south_2_north(28)(11), West => east_2_west(28)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
2543South => south_2_north(29)(11), East => east_2_west(29)(11) , Grant => Signal_grant(29)(11));
2544
2545Arbiter_29_12 : Arbiter
2546
2547PORT MAP (Request => Request(42), North => south_2_north(28)(12), West => east_2_west(28)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
2548South => south_2_north(29)(12), East => east_2_west(29)(12) , Grant => Signal_grant(29)(12));
2549
2550Arbiter_29_13 : Arbiter
2551
2552PORT MAP (Request => Request(28), North => south_2_north(28)(13), West => east_2_west(28)(12), P => Signal_priority(1), Fifo_full => Fifo_full(13), 
2553South => south_2_north(29)(13), East => east_2_west(29)(13) , Grant => Signal_grant(29)(13));
2554
2555Arbiter_29_14 : Arbiter
2556
2557PORT MAP (Request => Request(14), North => south_2_north(28)(14), West => east_2_west(28)(13), P => Signal_priority(1), Fifo_full => Fifo_full(14), 
2558South => south_2_north(29)(14), East => east_2_west(29)(14) , Grant => Signal_grant(29)(14));
2559
2560Arbiter_29_15 : Arbiter
2561
2562PORT MAP (Request => Request(225), North => south_2_north(28)(15), West => east_2_west(28)(14), P => Signal_priority(1), Fifo_full => Fifo_full(15), 
2563South => south_2_north(29)(15), East => east_2_west(29)(15) , Grant => Signal_grant(29)(15));
2564
2565
2566--processus permettant de roter la priorité des diagonales à chaque front d'horloge
2567 -- rotation round robin
2568         round_robin : process(clk)
2569        begin
2570                if rising_edge(clk) then
2571                 if reset ='1' then
2572                    Signal_priority <= "11111111111111100000000000000";
2573                  elsif priority_rotation_en = '1' then
2574                    case Signal_priority is
2575                       when "11111111111111100000000000000" => Signal_priority <= "01111111111111110000000000000";
2576                       when "01111111111111110000000000000" => Signal_priority <= "00111111111111111000000000000";
2577                       when "00111111111111111000000000000" => Signal_priority <= "00011111111111111100000000000";
2578                       when "00011111111111111100000000000" => Signal_priority <= "00001111111111111110000000000";
2579                       when "00001111111111111110000000000" => Signal_priority <= "00000111111111111111000000000";
2580                       when "00000111111111111111000000000" => Signal_priority <= "00000011111111111111100000000";
2581                       when "00000011111111111111100000000" => Signal_priority <= "00000001111111111111110000000";
2582                       when "00000001111111111111110000000" => Signal_priority <= "00000000111111111111111000000";
2583                       when "00000000111111111111111000000" => Signal_priority <= "00000000011111111111111100000";
2584                       when "00000000011111111111111100000" => Signal_priority <= "00000000001111111111111110000";
2585                       when "00000000001111111111111110000" => Signal_priority <= "00000000000111111111111111000";
2586                       when "00000000000111111111111111000" => Signal_priority <= "00000000000011111111111111100";
2587                       when "00000000000011111111111111100" => Signal_priority <= "00000000000001111111111111110";
2588                       when "00000000000001111111111111110" => Signal_priority <= "00000000000000111111111111111";
2589                       when "00000000000000111111111111111" => Signal_priority <= "11111111111111100000000000000";
2590                       when others    => Signal_priority <= "11111111111111100000000000000";
2591                  end case;
2592                 end if;
2593             end if;
2594         end process;
2595
2596end Behavioral;
2597
Note: See TracBrowser for help on using the repository browser.