source: PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/OLD_VERSION/SCHEDULER16_16.VHD @ 143

Last change on this file since 143 was 24, checked in by rolagamo, 12 years ago
File size: 156.5 KB
RevLine 
[24]1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler16_16 is
32    Port ( Request : in  STD_LOGIC_VECTOR (256 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (16 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (16 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (256 downto 1));
38end Scheduler16_16;
39
40architecture Behavioral of Scheduler16_16 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(31 downto 1) of STD_LOGIC_VECTOR(16 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (31 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(256 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant :  std_logic_vector(256 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61 priority_rotation_en <= '1' when unsigned(priority_rotation) = 65535 else      '0';
62--latch servant qui memorise le signal grant pendant a transmission
63grant_latch_process : process(clk)
64 begin
65  if rising_edge(clk) then
66   if reset = '1' then
67                grant_latch <= (others => '0');
68         elsif priority_rotation_en = '1' then
69           grant_latch <= Grant;
70   end if;
71   end if;
72 end process;
73 port_grant <= Grant and grant_latch;
74 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(17)(1); --  Grant(1,1)
75Grant(2)  <= Signal_grant(2)(2) or Signal_grant(18)(2); --  Grant(1,2)
76Grant(3)  <= Signal_grant(3)(3) or Signal_grant(19)(3); --  Grant(1,3)
77Grant(4)  <= Signal_grant(4)(4) or Signal_grant(20)(4); --  Grant(1,4)
78Grant(5)  <= Signal_grant(5)(5) or Signal_grant(21)(5); --  Grant(1,5)
79Grant(6)  <= Signal_grant(6)(6) or Signal_grant(22)(6); --  Grant(1,6)
80Grant(7)  <= Signal_grant(7)(7) or Signal_grant(23)(7); --  Grant(1,7)
81Grant(8)  <= Signal_grant(8)(8) or Signal_grant(24)(8); --  Grant(1,8)
82Grant(9)  <= Signal_grant(9)(9) or Signal_grant(25)(9); --  Grant(1,9)
83Grant(10)  <= Signal_grant(10)(10) or Signal_grant(26)(10); --  Grant(1,10)
84Grant(11)  <= Signal_grant(11)(11) or Signal_grant(27)(11); --  Grant(1,11)
85Grant(12)  <= Signal_grant(12)(12) or Signal_grant(28)(12); --  Grant(1,12)
86Grant(13)  <= Signal_grant(13)(13) or Signal_grant(29)(13); --  Grant(1,13)
87Grant(14)  <= Signal_grant(14)(14) or Signal_grant(30)(14); --  Grant(1,14)
88Grant(15)  <= Signal_grant(15)(15) or Signal_grant(31)(15); --  Grant(1,15)
89Grant(16)  <= Signal_grant(16)(16) ;                      --  Grant(1,16)
90Grant(17)  <= Signal_grant(2)(1) or Signal_grant(18)(1); --  Grant(2,1)
91Grant(18)  <= Signal_grant(3)(2) or Signal_grant(19)(2); --  Grant(2,2)
92Grant(19)  <= Signal_grant(4)(3) or Signal_grant(20)(3); --  Grant(2,3)
93Grant(20)  <= Signal_grant(5)(4) or Signal_grant(21)(4); --  Grant(2,4)
94Grant(21)  <= Signal_grant(6)(5) or Signal_grant(22)(5); --  Grant(2,5)
95Grant(22)  <= Signal_grant(7)(6) or Signal_grant(23)(6); --  Grant(2,6)
96Grant(23)  <= Signal_grant(8)(7) or Signal_grant(24)(7); --  Grant(2,7)
97Grant(24)  <= Signal_grant(9)(8) or Signal_grant(25)(8); --  Grant(2,8)
98Grant(25)  <= Signal_grant(10)(9) or Signal_grant(26)(9); --  Grant(2,9)
99Grant(26)  <= Signal_grant(11)(10) or Signal_grant(27)(10); --  Grant(2,10)
100Grant(27)  <= Signal_grant(12)(11) or Signal_grant(28)(11); --  Grant(2,11)
101Grant(28)  <= Signal_grant(13)(12) or Signal_grant(29)(12); --  Grant(2,12)
102Grant(29)  <= Signal_grant(14)(13) or Signal_grant(30)(13); --  Grant(2,13)
103Grant(30)  <= Signal_grant(15)(14) or Signal_grant(31)(14); --  Grant(2,14)
104Grant(31)  <= Signal_grant(16)(15) ;                      --  Grant(2,15)
105Grant(32)  <= Signal_grant(1)(16) or Signal_grant(17)(16); --  Grant(2,16)
106Grant(33)  <= Signal_grant(3)(1) or Signal_grant(19)(1); --  Grant(3,1)
107Grant(34)  <= Signal_grant(4)(2) or Signal_grant(20)(2); --  Grant(3,2)
108Grant(35)  <= Signal_grant(5)(3) or Signal_grant(21)(3); --  Grant(3,3)
109Grant(36)  <= Signal_grant(6)(4) or Signal_grant(22)(4); --  Grant(3,4)
110Grant(37)  <= Signal_grant(7)(5) or Signal_grant(23)(5); --  Grant(3,5)
111Grant(38)  <= Signal_grant(8)(6) or Signal_grant(24)(6); --  Grant(3,6)
112Grant(39)  <= Signal_grant(9)(7) or Signal_grant(25)(7); --  Grant(3,7)
113Grant(40)  <= Signal_grant(10)(8) or Signal_grant(26)(8); --  Grant(3,8)
114Grant(41)  <= Signal_grant(11)(9) or Signal_grant(27)(9); --  Grant(3,9)
115Grant(42)  <= Signal_grant(12)(10) or Signal_grant(28)(10); --  Grant(3,10)
116Grant(43)  <= Signal_grant(13)(11) or Signal_grant(29)(11); --  Grant(3,11)
117Grant(44)  <= Signal_grant(14)(12) or Signal_grant(30)(12); --  Grant(3,12)
118Grant(45)  <= Signal_grant(15)(13) or Signal_grant(31)(13); --  Grant(3,13)
119Grant(46)  <= Signal_grant(16)(14) ;                      --  Grant(3,14)
120Grant(47)  <= Signal_grant(1)(15) or Signal_grant(17)(15); --  Grant(3,15)
121Grant(48)  <= Signal_grant(2)(16) or Signal_grant(18)(16); --  Grant(3,16)
122Grant(49)  <= Signal_grant(4)(1) or Signal_grant(20)(1); --  Grant(4,1)
123Grant(50)  <= Signal_grant(5)(2) or Signal_grant(21)(2); --  Grant(4,2)
124Grant(51)  <= Signal_grant(6)(3) or Signal_grant(22)(3); --  Grant(4,3)
125Grant(52)  <= Signal_grant(7)(4) or Signal_grant(23)(4); --  Grant(4,4)
126Grant(53)  <= Signal_grant(8)(5) or Signal_grant(24)(5); --  Grant(4,5)
127Grant(54)  <= Signal_grant(9)(6) or Signal_grant(25)(6); --  Grant(4,6)
128Grant(55)  <= Signal_grant(10)(7) or Signal_grant(26)(7); --  Grant(4,7)
129Grant(56)  <= Signal_grant(11)(8) or Signal_grant(27)(8); --  Grant(4,8)
130Grant(57)  <= Signal_grant(12)(9) or Signal_grant(28)(9); --  Grant(4,9)
131Grant(58)  <= Signal_grant(13)(10) or Signal_grant(29)(10); --  Grant(4,10)
132Grant(59)  <= Signal_grant(14)(11) or Signal_grant(30)(11); --  Grant(4,11)
133Grant(60)  <= Signal_grant(15)(12) or Signal_grant(31)(12); --  Grant(4,12)
134Grant(61)  <= Signal_grant(16)(13) ;                      --  Grant(4,13)
135Grant(62)  <= Signal_grant(1)(14) or Signal_grant(17)(14); --  Grant(4,14)
136Grant(63)  <= Signal_grant(2)(15) or Signal_grant(18)(15); --  Grant(4,15)
137Grant(64)  <= Signal_grant(3)(16) or Signal_grant(19)(16); --  Grant(4,16)
138Grant(65)  <= Signal_grant(5)(1) or Signal_grant(21)(1); --  Grant(5,1)
139Grant(66)  <= Signal_grant(6)(2) or Signal_grant(22)(2); --  Grant(5,2)
140Grant(67)  <= Signal_grant(7)(3) or Signal_grant(23)(3); --  Grant(5,3)
141Grant(68)  <= Signal_grant(8)(4) or Signal_grant(24)(4); --  Grant(5,4)
142Grant(69)  <= Signal_grant(9)(5) or Signal_grant(25)(5); --  Grant(5,5)
143Grant(70)  <= Signal_grant(10)(6) or Signal_grant(26)(6); --  Grant(5,6)
144Grant(71)  <= Signal_grant(11)(7) or Signal_grant(27)(7); --  Grant(5,7)
145Grant(72)  <= Signal_grant(12)(8) or Signal_grant(28)(8); --  Grant(5,8)
146Grant(73)  <= Signal_grant(13)(9) or Signal_grant(29)(9); --  Grant(5,9)
147Grant(74)  <= Signal_grant(14)(10) or Signal_grant(30)(10); --  Grant(5,10)
148Grant(75)  <= Signal_grant(15)(11) or Signal_grant(31)(11); --  Grant(5,11)
149Grant(76)  <= Signal_grant(16)(12) ;                      --  Grant(5,12)
150Grant(77)  <= Signal_grant(1)(13) or Signal_grant(17)(13); --  Grant(5,13)
151Grant(78)  <= Signal_grant(2)(14) or Signal_grant(18)(14); --  Grant(5,14)
152Grant(79)  <= Signal_grant(3)(15) or Signal_grant(19)(15); --  Grant(5,15)
153Grant(80)  <= Signal_grant(4)(16) or Signal_grant(20)(16); --  Grant(5,16)
154Grant(81)  <= Signal_grant(6)(1) or Signal_grant(22)(1); --  Grant(6,1)
155Grant(82)  <= Signal_grant(7)(2) or Signal_grant(23)(2); --  Grant(6,2)
156Grant(83)  <= Signal_grant(8)(3) or Signal_grant(24)(3); --  Grant(6,3)
157Grant(84)  <= Signal_grant(9)(4) or Signal_grant(25)(4); --  Grant(6,4)
158Grant(85)  <= Signal_grant(10)(5) or Signal_grant(26)(5); --  Grant(6,5)
159Grant(86)  <= Signal_grant(11)(6) or Signal_grant(27)(6); --  Grant(6,6)
160Grant(87)  <= Signal_grant(12)(7) or Signal_grant(28)(7); --  Grant(6,7)
161Grant(88)  <= Signal_grant(13)(8) or Signal_grant(29)(8); --  Grant(6,8)
162Grant(89)  <= Signal_grant(14)(9) or Signal_grant(30)(9); --  Grant(6,9)
163Grant(90)  <= Signal_grant(15)(10) or Signal_grant(31)(10); --  Grant(6,10)
164Grant(91)  <= Signal_grant(16)(11) ;                      --  Grant(6,11)
165Grant(92)  <= Signal_grant(1)(12) or Signal_grant(17)(12); --  Grant(6,12)
166Grant(93)  <= Signal_grant(2)(13) or Signal_grant(18)(13); --  Grant(6,13)
167Grant(94)  <= Signal_grant(3)(14) or Signal_grant(19)(14); --  Grant(6,14)
168Grant(95)  <= Signal_grant(4)(15) or Signal_grant(20)(15); --  Grant(6,15)
169Grant(96)  <= Signal_grant(5)(16) or Signal_grant(21)(16); --  Grant(6,16)
170Grant(97)  <= Signal_grant(7)(1) or Signal_grant(23)(1); --  Grant(7,1)
171Grant(98)  <= Signal_grant(8)(2) or Signal_grant(24)(2); --  Grant(7,2)
172Grant(99)  <= Signal_grant(9)(3) or Signal_grant(25)(3); --  Grant(7,3)
173Grant(100)  <= Signal_grant(10)(4) or Signal_grant(26)(4); --  Grant(7,4)
174Grant(101)  <= Signal_grant(11)(5) or Signal_grant(27)(5); --  Grant(7,5)
175Grant(102)  <= Signal_grant(12)(6) or Signal_grant(28)(6); --  Grant(7,6)
176Grant(103)  <= Signal_grant(13)(7) or Signal_grant(29)(7); --  Grant(7,7)
177Grant(104)  <= Signal_grant(14)(8) or Signal_grant(30)(8); --  Grant(7,8)
178Grant(105)  <= Signal_grant(15)(9) or Signal_grant(31)(9); --  Grant(7,9)
179Grant(106)  <= Signal_grant(16)(10) ;                      --  Grant(7,10)
180Grant(107)  <= Signal_grant(1)(11) or Signal_grant(17)(11); --  Grant(7,11)
181Grant(108)  <= Signal_grant(2)(12) or Signal_grant(18)(12); --  Grant(7,12)
182Grant(109)  <= Signal_grant(3)(13) or Signal_grant(19)(13); --  Grant(7,13)
183Grant(110)  <= Signal_grant(4)(14) or Signal_grant(20)(14); --  Grant(7,14)
184Grant(111)  <= Signal_grant(5)(15) or Signal_grant(21)(15); --  Grant(7,15)
185Grant(112)  <= Signal_grant(6)(16) or Signal_grant(22)(16); --  Grant(7,16)
186Grant(113)  <= Signal_grant(8)(1) or Signal_grant(24)(1); --  Grant(8,1)
187Grant(114)  <= Signal_grant(9)(2) or Signal_grant(25)(2); --  Grant(8,2)
188Grant(115)  <= Signal_grant(10)(3) or Signal_grant(26)(3); --  Grant(8,3)
189Grant(116)  <= Signal_grant(11)(4) or Signal_grant(27)(4); --  Grant(8,4)
190Grant(117)  <= Signal_grant(12)(5) or Signal_grant(28)(5); --  Grant(8,5)
191Grant(118)  <= Signal_grant(13)(6) or Signal_grant(29)(6); --  Grant(8,6)
192Grant(119)  <= Signal_grant(14)(7) or Signal_grant(30)(7); --  Grant(8,7)
193Grant(120)  <= Signal_grant(15)(8) or Signal_grant(31)(8); --  Grant(8,8)
194Grant(121)  <= Signal_grant(16)(9) ;                      --  Grant(8,9)
195Grant(122)  <= Signal_grant(1)(10) or Signal_grant(17)(10); --  Grant(8,10)
196Grant(123)  <= Signal_grant(2)(11) or Signal_grant(18)(11); --  Grant(8,11)
197Grant(124)  <= Signal_grant(3)(12) or Signal_grant(19)(12); --  Grant(8,12)
198Grant(125)  <= Signal_grant(4)(13) or Signal_grant(20)(13); --  Grant(8,13)
199Grant(126)  <= Signal_grant(5)(14) or Signal_grant(21)(14); --  Grant(8,14)
200Grant(127)  <= Signal_grant(6)(15) or Signal_grant(22)(15); --  Grant(8,15)
201Grant(128)  <= Signal_grant(7)(16) or Signal_grant(23)(16); --  Grant(8,16)
202Grant(129)  <= Signal_grant(9)(1) or Signal_grant(25)(1); --  Grant(9,1)
203Grant(130)  <= Signal_grant(10)(2) or Signal_grant(26)(2); --  Grant(9,2)
204Grant(131)  <= Signal_grant(11)(3) or Signal_grant(27)(3); --  Grant(9,3)
205Grant(132)  <= Signal_grant(12)(4) or Signal_grant(28)(4); --  Grant(9,4)
206Grant(133)  <= Signal_grant(13)(5) or Signal_grant(29)(5); --  Grant(9,5)
207Grant(134)  <= Signal_grant(14)(6) or Signal_grant(30)(6); --  Grant(9,6)
208Grant(135)  <= Signal_grant(15)(7) or Signal_grant(31)(7); --  Grant(9,7)
209Grant(136)  <= Signal_grant(16)(8) ;                      --  Grant(9,8)
210Grant(137)  <= Signal_grant(1)(9) or Signal_grant(17)(9); --  Grant(9,9)
211Grant(138)  <= Signal_grant(2)(10) or Signal_grant(18)(10); --  Grant(9,10)
212Grant(139)  <= Signal_grant(3)(11) or Signal_grant(19)(11); --  Grant(9,11)
213Grant(140)  <= Signal_grant(4)(12) or Signal_grant(20)(12); --  Grant(9,12)
214Grant(141)  <= Signal_grant(5)(13) or Signal_grant(21)(13); --  Grant(9,13)
215Grant(142)  <= Signal_grant(6)(14) or Signal_grant(22)(14); --  Grant(9,14)
216Grant(143)  <= Signal_grant(7)(15) or Signal_grant(23)(15); --  Grant(9,15)
217Grant(144)  <= Signal_grant(8)(16) or Signal_grant(24)(16); --  Grant(9,16)
218Grant(145)  <= Signal_grant(10)(1) or Signal_grant(26)(1); --  Grant(10,1)
219Grant(146)  <= Signal_grant(11)(2) or Signal_grant(27)(2); --  Grant(10,2)
220Grant(147)  <= Signal_grant(12)(3) or Signal_grant(28)(3); --  Grant(10,3)
221Grant(148)  <= Signal_grant(13)(4) or Signal_grant(29)(4); --  Grant(10,4)
222Grant(149)  <= Signal_grant(14)(5) or Signal_grant(30)(5); --  Grant(10,5)
223Grant(150)  <= Signal_grant(15)(6) or Signal_grant(31)(6); --  Grant(10,6)
224Grant(151)  <= Signal_grant(16)(7) ;                      --  Grant(10,7)
225Grant(152)  <= Signal_grant(1)(8) or Signal_grant(17)(8); --  Grant(10,8)
226Grant(153)  <= Signal_grant(2)(9) or Signal_grant(18)(9); --  Grant(10,9)
227Grant(154)  <= Signal_grant(3)(10) or Signal_grant(19)(10); --  Grant(10,10)
228Grant(155)  <= Signal_grant(4)(11) or Signal_grant(20)(11); --  Grant(10,11)
229Grant(156)  <= Signal_grant(5)(12) or Signal_grant(21)(12); --  Grant(10,12)
230Grant(157)  <= Signal_grant(6)(13) or Signal_grant(22)(13); --  Grant(10,13)
231Grant(158)  <= Signal_grant(7)(14) or Signal_grant(23)(14); --  Grant(10,14)
232Grant(159)  <= Signal_grant(8)(15) or Signal_grant(24)(15); --  Grant(10,15)
233Grant(160)  <= Signal_grant(9)(16) or Signal_grant(25)(16); --  Grant(10,16)
234Grant(161)  <= Signal_grant(11)(1) or Signal_grant(27)(1); --  Grant(11,1)
235Grant(162)  <= Signal_grant(12)(2) or Signal_grant(28)(2); --  Grant(11,2)
236Grant(163)  <= Signal_grant(13)(3) or Signal_grant(29)(3); --  Grant(11,3)
237Grant(164)  <= Signal_grant(14)(4) or Signal_grant(30)(4); --  Grant(11,4)
238Grant(165)  <= Signal_grant(15)(5) or Signal_grant(31)(5); --  Grant(11,5)
239Grant(166)  <= Signal_grant(16)(6) ;                      --  Grant(11,6)
240Grant(167)  <= Signal_grant(1)(7) or Signal_grant(17)(7); --  Grant(11,7)
241Grant(168)  <= Signal_grant(2)(8) or Signal_grant(18)(8); --  Grant(11,8)
242Grant(169)  <= Signal_grant(3)(9) or Signal_grant(19)(9); --  Grant(11,9)
243Grant(170)  <= Signal_grant(4)(10) or Signal_grant(20)(10); --  Grant(11,10)
244Grant(171)  <= Signal_grant(5)(11) or Signal_grant(21)(11); --  Grant(11,11)
245Grant(172)  <= Signal_grant(6)(12) or Signal_grant(22)(12); --  Grant(11,12)
246Grant(173)  <= Signal_grant(7)(13) or Signal_grant(23)(13); --  Grant(11,13)
247Grant(174)  <= Signal_grant(8)(14) or Signal_grant(24)(14); --  Grant(11,14)
248Grant(175)  <= Signal_grant(9)(15) or Signal_grant(25)(15); --  Grant(11,15)
249Grant(176)  <= Signal_grant(10)(16) or Signal_grant(26)(16); --  Grant(11,16)
250Grant(177)  <= Signal_grant(12)(1) or Signal_grant(28)(1); --  Grant(12,1)
251Grant(178)  <= Signal_grant(13)(2) or Signal_grant(29)(2); --  Grant(12,2)
252Grant(179)  <= Signal_grant(14)(3) or Signal_grant(30)(3); --  Grant(12,3)
253Grant(180)  <= Signal_grant(15)(4) or Signal_grant(31)(4); --  Grant(12,4)
254Grant(181)  <= Signal_grant(16)(5) ;                      --  Grant(12,5)
255Grant(182)  <= Signal_grant(1)(6) or Signal_grant(17)(6); --  Grant(12,6)
256Grant(183)  <= Signal_grant(2)(7) or Signal_grant(18)(7); --  Grant(12,7)
257Grant(184)  <= Signal_grant(3)(8) or Signal_grant(19)(8); --  Grant(12,8)
258Grant(185)  <= Signal_grant(4)(9) or Signal_grant(20)(9); --  Grant(12,9)
259Grant(186)  <= Signal_grant(5)(10) or Signal_grant(21)(10); --  Grant(12,10)
260Grant(187)  <= Signal_grant(6)(11) or Signal_grant(22)(11); --  Grant(12,11)
261Grant(188)  <= Signal_grant(7)(12) or Signal_grant(23)(12); --  Grant(12,12)
262Grant(189)  <= Signal_grant(8)(13) or Signal_grant(24)(13); --  Grant(12,13)
263Grant(190)  <= Signal_grant(9)(14) or Signal_grant(25)(14); --  Grant(12,14)
264Grant(191)  <= Signal_grant(10)(15) or Signal_grant(26)(15); --  Grant(12,15)
265Grant(192)  <= Signal_grant(11)(16) or Signal_grant(27)(16); --  Grant(12,16)
266Grant(193)  <= Signal_grant(13)(1) or Signal_grant(29)(1); --  Grant(13,1)
267Grant(194)  <= Signal_grant(14)(2) or Signal_grant(30)(2); --  Grant(13,2)
268Grant(195)  <= Signal_grant(15)(3) or Signal_grant(31)(3); --  Grant(13,3)
269Grant(196)  <= Signal_grant(16)(4) ;                      --  Grant(13,4)
270Grant(197)  <= Signal_grant(1)(5) or Signal_grant(17)(5); --  Grant(13,5)
271Grant(198)  <= Signal_grant(2)(6) or Signal_grant(18)(6); --  Grant(13,6)
272Grant(199)  <= Signal_grant(3)(7) or Signal_grant(19)(7); --  Grant(13,7)
273Grant(200)  <= Signal_grant(4)(8) or Signal_grant(20)(8); --  Grant(13,8)
274Grant(201)  <= Signal_grant(5)(9) or Signal_grant(21)(9); --  Grant(13,9)
275Grant(202)  <= Signal_grant(6)(10) or Signal_grant(22)(10); --  Grant(13,10)
276Grant(203)  <= Signal_grant(7)(11) or Signal_grant(23)(11); --  Grant(13,11)
277Grant(204)  <= Signal_grant(8)(12) or Signal_grant(24)(12); --  Grant(13,12)
278Grant(205)  <= Signal_grant(9)(13) or Signal_grant(25)(13); --  Grant(13,13)
279Grant(206)  <= Signal_grant(10)(14) or Signal_grant(26)(14); --  Grant(13,14)
280Grant(207)  <= Signal_grant(11)(15) or Signal_grant(27)(15); --  Grant(13,15)
281Grant(208)  <= Signal_grant(12)(16) or Signal_grant(28)(16); --  Grant(13,16)
282Grant(209)  <= Signal_grant(14)(1) or Signal_grant(30)(1); --  Grant(14,1)
283Grant(210)  <= Signal_grant(15)(2) or Signal_grant(31)(2); --  Grant(14,2)
284Grant(211)  <= Signal_grant(16)(3) ;                      --  Grant(14,3)
285Grant(212)  <= Signal_grant(1)(4) or Signal_grant(17)(4); --  Grant(14,4)
286Grant(213)  <= Signal_grant(2)(5) or Signal_grant(18)(5); --  Grant(14,5)
287Grant(214)  <= Signal_grant(3)(6) or Signal_grant(19)(6); --  Grant(14,6)
288Grant(215)  <= Signal_grant(4)(7) or Signal_grant(20)(7); --  Grant(14,7)
289Grant(216)  <= Signal_grant(5)(8) or Signal_grant(21)(8); --  Grant(14,8)
290Grant(217)  <= Signal_grant(6)(9) or Signal_grant(22)(9); --  Grant(14,9)
291Grant(218)  <= Signal_grant(7)(10) or Signal_grant(23)(10); --  Grant(14,10)
292Grant(219)  <= Signal_grant(8)(11) or Signal_grant(24)(11); --  Grant(14,11)
293Grant(220)  <= Signal_grant(9)(12) or Signal_grant(25)(12); --  Grant(14,12)
294Grant(221)  <= Signal_grant(10)(13) or Signal_grant(26)(13); --  Grant(14,13)
295Grant(222)  <= Signal_grant(11)(14) or Signal_grant(27)(14); --  Grant(14,14)
296Grant(223)  <= Signal_grant(12)(15) or Signal_grant(28)(15); --  Grant(14,15)
297Grant(224)  <= Signal_grant(13)(16) or Signal_grant(29)(16); --  Grant(14,16)
298Grant(225)  <= Signal_grant(15)(1) or Signal_grant(31)(1); --  Grant(15,1)
299Grant(226)  <= Signal_grant(16)(2) ;                      --  Grant(15,2)
300Grant(227)  <= Signal_grant(1)(3) or Signal_grant(17)(3); --  Grant(15,3)
301Grant(228)  <= Signal_grant(2)(4) or Signal_grant(18)(4); --  Grant(15,4)
302Grant(229)  <= Signal_grant(3)(5) or Signal_grant(19)(5); --  Grant(15,5)
303Grant(230)  <= Signal_grant(4)(6) or Signal_grant(20)(6); --  Grant(15,6)
304Grant(231)  <= Signal_grant(5)(7) or Signal_grant(21)(7); --  Grant(15,7)
305Grant(232)  <= Signal_grant(6)(8) or Signal_grant(22)(8); --  Grant(15,8)
306Grant(233)  <= Signal_grant(7)(9) or Signal_grant(23)(9); --  Grant(15,9)
307Grant(234)  <= Signal_grant(8)(10) or Signal_grant(24)(10); --  Grant(15,10)
308Grant(235)  <= Signal_grant(9)(11) or Signal_grant(25)(11); --  Grant(15,11)
309Grant(236)  <= Signal_grant(10)(12) or Signal_grant(26)(12); --  Grant(15,12)
310Grant(237)  <= Signal_grant(11)(13) or Signal_grant(27)(13); --  Grant(15,13)
311Grant(238)  <= Signal_grant(12)(14) or Signal_grant(28)(14); --  Grant(15,14)
312Grant(239)  <= Signal_grant(13)(15) or Signal_grant(29)(15); --  Grant(15,15)
313Grant(240)  <= Signal_grant(14)(16) or Signal_grant(30)(16); --  Grant(15,16)
314Grant(241)  <= Signal_grant(16)(1) ;                      --  Grant(16,1)
315Grant(242)  <= Signal_grant(1)(2) or Signal_grant(17)(2); --  Grant(16,2)
316Grant(243)  <= Signal_grant(2)(3) or Signal_grant(18)(3); --  Grant(16,3)
317Grant(244)  <= Signal_grant(3)(4) or Signal_grant(19)(4); --  Grant(16,4)
318Grant(245)  <= Signal_grant(4)(5) or Signal_grant(20)(5); --  Grant(16,5)
319Grant(246)  <= Signal_grant(5)(6) or Signal_grant(21)(6); --  Grant(16,6)
320Grant(247)  <= Signal_grant(6)(7) or Signal_grant(22)(7); --  Grant(16,7)
321Grant(248)  <= Signal_grant(7)(8) or Signal_grant(23)(8); --  Grant(16,8)
322Grant(249)  <= Signal_grant(8)(9) or Signal_grant(24)(9); --  Grant(16,9)
323Grant(250)  <= Signal_grant(9)(10) or Signal_grant(25)(10); --  Grant(16,10)
324Grant(251)  <= Signal_grant(10)(11) or Signal_grant(26)(11); --  Grant(16,11)
325Grant(252)  <= Signal_grant(11)(12) or Signal_grant(27)(12); --  Grant(16,12)
326Grant(253)  <= Signal_grant(12)(13) or Signal_grant(28)(13); --  Grant(16,13)
327Grant(254)  <= Signal_grant(13)(14) or Signal_grant(29)(14); --  Grant(16,14)
328Grant(255)  <= Signal_grant(14)(15) or Signal_grant(30)(15); --  Grant(16,15)
329Grant(256)  <= Signal_grant(15)(16) or Signal_grant(31)(16); --  Grant(16,16)
330High <= '1';
331
332----instantiations des cellules arbitres et interconnection
333
334-------------------------- Diagonale n° 1
335
336
337Arbiter_1_1 : Arbiter
338
339PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(1), 
340South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
341
342Arbiter_1_2 : Arbiter
343
344PORT MAP (Request => Request(242), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(2), 
345South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
346
347Arbiter_1_3 : Arbiter
348
349PORT MAP (Request => Request(227), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(3), 
350South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
351
352Arbiter_1_4 : Arbiter
353
354PORT MAP (Request => Request(212), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(4), 
355South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
356
357Arbiter_1_5 : Arbiter
358
359PORT MAP (Request => Request(197), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(5), 
360South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
361
362Arbiter_1_6 : Arbiter
363
364PORT MAP (Request => Request(182), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(6), 
365South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
366
367Arbiter_1_7 : Arbiter
368
369PORT MAP (Request => Request(167), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(7), 
370South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
371
372Arbiter_1_8 : Arbiter
373
374PORT MAP (Request => Request(152), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(8), 
375South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
376
377Arbiter_1_9 : Arbiter
378
379PORT MAP (Request => Request(137), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(9), 
380South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
381
382Arbiter_1_10 : Arbiter
383
384PORT MAP (Request => Request(122), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(10), 
385South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
386
387Arbiter_1_11 : Arbiter
388
389PORT MAP (Request => Request(107), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(11), 
390South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
391
392Arbiter_1_12 : Arbiter
393
394PORT MAP (Request => Request(92), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(12), 
395South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
396
397Arbiter_1_13 : Arbiter
398
399PORT MAP (Request => Request(77), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(13), 
400South => south_2_north(1)(13), East => east_2_west(1)(13) , Grant => Signal_grant(1)(13));
401
402Arbiter_1_14 : Arbiter
403
404PORT MAP (Request => Request(62), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(14), 
405South => south_2_north(1)(14), East => east_2_west(1)(14) , Grant => Signal_grant(1)(14));
406
407Arbiter_1_15 : Arbiter
408
409PORT MAP (Request => Request(47), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(15), 
410South => south_2_north(1)(15), East => east_2_west(1)(15) , Grant => Signal_grant(1)(15));
411
412Arbiter_1_16 : Arbiter
413
414PORT MAP (Request => Request(32), North => High, West => High, P => Signal_priority(31), Fifo_full => Fifo_full(16), 
415South => south_2_north(1)(16), East => east_2_west(1)(16) , Grant => Signal_grant(1)(16));
416
417-------------------------- Diagonale n° 2
418
419
420Arbiter_2_1 : Arbiter
421
422PORT MAP (Request => Request(17), North => south_2_north(1)(1), West => east_2_west(1)(16), P => Signal_priority(30), Fifo_full => Fifo_full(1), 
423South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
424
425Arbiter_2_2 : Arbiter
426
427PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(30), Fifo_full => Fifo_full(2), 
428South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
429
430Arbiter_2_3 : Arbiter
431
432PORT MAP (Request => Request(243), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(30), Fifo_full => Fifo_full(3), 
433South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
434
435Arbiter_2_4 : Arbiter
436
437PORT MAP (Request => Request(228), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(30), Fifo_full => Fifo_full(4), 
438South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
439
440Arbiter_2_5 : Arbiter
441
442PORT MAP (Request => Request(213), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(30), Fifo_full => Fifo_full(5), 
443South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
444
445Arbiter_2_6 : Arbiter
446
447PORT MAP (Request => Request(198), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(30), Fifo_full => Fifo_full(6), 
448South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
449
450Arbiter_2_7 : Arbiter
451
452PORT MAP (Request => Request(183), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(30), Fifo_full => Fifo_full(7), 
453South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
454
455Arbiter_2_8 : Arbiter
456
457PORT MAP (Request => Request(168), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(30), Fifo_full => Fifo_full(8), 
458South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
459
460Arbiter_2_9 : Arbiter
461
462PORT MAP (Request => Request(153), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(30), Fifo_full => Fifo_full(9), 
463South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
464
465Arbiter_2_10 : Arbiter
466
467PORT MAP (Request => Request(138), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(30), Fifo_full => Fifo_full(10), 
468South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
469
470Arbiter_2_11 : Arbiter
471
472PORT MAP (Request => Request(123), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(30), Fifo_full => Fifo_full(11), 
473South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
474
475Arbiter_2_12 : Arbiter
476
477PORT MAP (Request => Request(108), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(30), Fifo_full => Fifo_full(12), 
478South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
479
480Arbiter_2_13 : Arbiter
481
482PORT MAP (Request => Request(93), North => south_2_north(1)(13), West => east_2_west(1)(12), P => Signal_priority(30), Fifo_full => Fifo_full(13), 
483South => south_2_north(2)(13), East => east_2_west(2)(13) , Grant => Signal_grant(2)(13));
484
485Arbiter_2_14 : Arbiter
486
487PORT MAP (Request => Request(78), North => south_2_north(1)(14), West => east_2_west(1)(13), P => Signal_priority(30), Fifo_full => Fifo_full(14), 
488South => south_2_north(2)(14), East => east_2_west(2)(14) , Grant => Signal_grant(2)(14));
489
490Arbiter_2_15 : Arbiter
491
492PORT MAP (Request => Request(63), North => south_2_north(1)(15), West => east_2_west(1)(14), P => Signal_priority(30), Fifo_full => Fifo_full(15), 
493South => south_2_north(2)(15), East => east_2_west(2)(15) , Grant => Signal_grant(2)(15));
494
495Arbiter_2_16 : Arbiter
496
497PORT MAP (Request => Request(48), North => south_2_north(1)(16), West => east_2_west(1)(15), P => Signal_priority(30), Fifo_full => Fifo_full(16), 
498South => south_2_north(2)(16), East => east_2_west(2)(16) , Grant => Signal_grant(2)(16));
499
500-------------------------- Diagonale n° 3
501
502
503Arbiter_3_1 : Arbiter
504
505PORT MAP (Request => Request(33), North => south_2_north(2)(1), West => east_2_west(2)(16), P => Signal_priority(29), Fifo_full => Fifo_full(1), 
506South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
507
508Arbiter_3_2 : Arbiter
509
510PORT MAP (Request => Request(18), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(29), Fifo_full => Fifo_full(2), 
511South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
512
513Arbiter_3_3 : Arbiter
514
515PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(29), Fifo_full => Fifo_full(3), 
516South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
517
518Arbiter_3_4 : Arbiter
519
520PORT MAP (Request => Request(244), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(29), Fifo_full => Fifo_full(4), 
521South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
522
523Arbiter_3_5 : Arbiter
524
525PORT MAP (Request => Request(229), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(29), Fifo_full => Fifo_full(5), 
526South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
527
528Arbiter_3_6 : Arbiter
529
530PORT MAP (Request => Request(214), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(29), Fifo_full => Fifo_full(6), 
531South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
532
533Arbiter_3_7 : Arbiter
534
535PORT MAP (Request => Request(199), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(29), Fifo_full => Fifo_full(7), 
536South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
537
538Arbiter_3_8 : Arbiter
539
540PORT MAP (Request => Request(184), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(29), Fifo_full => Fifo_full(8), 
541South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
542
543Arbiter_3_9 : Arbiter
544
545PORT MAP (Request => Request(169), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(29), Fifo_full => Fifo_full(9), 
546South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
547
548Arbiter_3_10 : Arbiter
549
550PORT MAP (Request => Request(154), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(29), Fifo_full => Fifo_full(10), 
551South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
552
553Arbiter_3_11 : Arbiter
554
555PORT MAP (Request => Request(139), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(29), Fifo_full => Fifo_full(11), 
556South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
557
558Arbiter_3_12 : Arbiter
559
560PORT MAP (Request => Request(124), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(29), Fifo_full => Fifo_full(12), 
561South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
562
563Arbiter_3_13 : Arbiter
564
565PORT MAP (Request => Request(109), North => south_2_north(2)(13), West => east_2_west(2)(12), P => Signal_priority(29), Fifo_full => Fifo_full(13), 
566South => south_2_north(3)(13), East => east_2_west(3)(13) , Grant => Signal_grant(3)(13));
567
568Arbiter_3_14 : Arbiter
569
570PORT MAP (Request => Request(94), North => south_2_north(2)(14), West => east_2_west(2)(13), P => Signal_priority(29), Fifo_full => Fifo_full(14), 
571South => south_2_north(3)(14), East => east_2_west(3)(14) , Grant => Signal_grant(3)(14));
572
573Arbiter_3_15 : Arbiter
574
575PORT MAP (Request => Request(79), North => south_2_north(2)(15), West => east_2_west(2)(14), P => Signal_priority(29), Fifo_full => Fifo_full(15), 
576South => south_2_north(3)(15), East => east_2_west(3)(15) , Grant => Signal_grant(3)(15));
577
578Arbiter_3_16 : Arbiter
579
580PORT MAP (Request => Request(64), North => south_2_north(2)(16), West => east_2_west(2)(15), P => Signal_priority(29), Fifo_full => Fifo_full(16), 
581South => south_2_north(3)(16), East => east_2_west(3)(16) , Grant => Signal_grant(3)(16));
582
583-------------------------- Diagonale n° 4
584
585
586Arbiter_4_1 : Arbiter
587
588PORT MAP (Request => Request(49), North => south_2_north(3)(1), West => east_2_west(3)(16), P => Signal_priority(28), Fifo_full => Fifo_full(1), 
589South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
590
591Arbiter_4_2 : Arbiter
592
593PORT MAP (Request => Request(34), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(28), Fifo_full => Fifo_full(2), 
594South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
595
596Arbiter_4_3 : Arbiter
597
598PORT MAP (Request => Request(19), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(28), Fifo_full => Fifo_full(3), 
599South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
600
601Arbiter_4_4 : Arbiter
602
603PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(28), Fifo_full => Fifo_full(4), 
604South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
605
606Arbiter_4_5 : Arbiter
607
608PORT MAP (Request => Request(245), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(28), Fifo_full => Fifo_full(5), 
609South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
610
611Arbiter_4_6 : Arbiter
612
613PORT MAP (Request => Request(230), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(28), Fifo_full => Fifo_full(6), 
614South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
615
616Arbiter_4_7 : Arbiter
617
618PORT MAP (Request => Request(215), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(28), Fifo_full => Fifo_full(7), 
619South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
620
621Arbiter_4_8 : Arbiter
622
623PORT MAP (Request => Request(200), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(28), Fifo_full => Fifo_full(8), 
624South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
625
626Arbiter_4_9 : Arbiter
627
628PORT MAP (Request => Request(185), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(28), Fifo_full => Fifo_full(9), 
629South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
630
631Arbiter_4_10 : Arbiter
632
633PORT MAP (Request => Request(170), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(28), Fifo_full => Fifo_full(10), 
634South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
635
636Arbiter_4_11 : Arbiter
637
638PORT MAP (Request => Request(155), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(28), Fifo_full => Fifo_full(11), 
639South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
640
641Arbiter_4_12 : Arbiter
642
643PORT MAP (Request => Request(140), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(28), Fifo_full => Fifo_full(12), 
644South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
645
646Arbiter_4_13 : Arbiter
647
648PORT MAP (Request => Request(125), North => south_2_north(3)(13), West => east_2_west(3)(12), P => Signal_priority(28), Fifo_full => Fifo_full(13), 
649South => south_2_north(4)(13), East => east_2_west(4)(13) , Grant => Signal_grant(4)(13));
650
651Arbiter_4_14 : Arbiter
652
653PORT MAP (Request => Request(110), North => south_2_north(3)(14), West => east_2_west(3)(13), P => Signal_priority(28), Fifo_full => Fifo_full(14), 
654South => south_2_north(4)(14), East => east_2_west(4)(14) , Grant => Signal_grant(4)(14));
655
656Arbiter_4_15 : Arbiter
657
658PORT MAP (Request => Request(95), North => south_2_north(3)(15), West => east_2_west(3)(14), P => Signal_priority(28), Fifo_full => Fifo_full(15), 
659South => south_2_north(4)(15), East => east_2_west(4)(15) , Grant => Signal_grant(4)(15));
660
661Arbiter_4_16 : Arbiter
662
663PORT MAP (Request => Request(80), North => south_2_north(3)(16), West => east_2_west(3)(15), P => Signal_priority(28), Fifo_full => Fifo_full(16), 
664South => south_2_north(4)(16), East => east_2_west(4)(16) , Grant => Signal_grant(4)(16));
665
666-------------------------- Diagonale n° 5
667
668
669Arbiter_5_1 : Arbiter
670
671PORT MAP (Request => Request(65), North => south_2_north(4)(1), West => east_2_west(4)(16), P => Signal_priority(27), Fifo_full => Fifo_full(1), 
672South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
673
674Arbiter_5_2 : Arbiter
675
676PORT MAP (Request => Request(50), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(27), Fifo_full => Fifo_full(2), 
677South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
678
679Arbiter_5_3 : Arbiter
680
681PORT MAP (Request => Request(35), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(27), Fifo_full => Fifo_full(3), 
682South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
683
684Arbiter_5_4 : Arbiter
685
686PORT MAP (Request => Request(20), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(27), Fifo_full => Fifo_full(4), 
687South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
688
689Arbiter_5_5 : Arbiter
690
691PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(27), Fifo_full => Fifo_full(5), 
692South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
693
694Arbiter_5_6 : Arbiter
695
696PORT MAP (Request => Request(246), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(27), Fifo_full => Fifo_full(6), 
697South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
698
699Arbiter_5_7 : Arbiter
700
701PORT MAP (Request => Request(231), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(27), Fifo_full => Fifo_full(7), 
702South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
703
704Arbiter_5_8 : Arbiter
705
706PORT MAP (Request => Request(216), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(27), Fifo_full => Fifo_full(8), 
707South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
708
709Arbiter_5_9 : Arbiter
710
711PORT MAP (Request => Request(201), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(27), Fifo_full => Fifo_full(9), 
712South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
713
714Arbiter_5_10 : Arbiter
715
716PORT MAP (Request => Request(186), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(27), Fifo_full => Fifo_full(10), 
717South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
718
719Arbiter_5_11 : Arbiter
720
721PORT MAP (Request => Request(171), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(27), Fifo_full => Fifo_full(11), 
722South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
723
724Arbiter_5_12 : Arbiter
725
726PORT MAP (Request => Request(156), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(27), Fifo_full => Fifo_full(12), 
727South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
728
729Arbiter_5_13 : Arbiter
730
731PORT MAP (Request => Request(141), North => south_2_north(4)(13), West => east_2_west(4)(12), P => Signal_priority(27), Fifo_full => Fifo_full(13), 
732South => south_2_north(5)(13), East => east_2_west(5)(13) , Grant => Signal_grant(5)(13));
733
734Arbiter_5_14 : Arbiter
735
736PORT MAP (Request => Request(126), North => south_2_north(4)(14), West => east_2_west(4)(13), P => Signal_priority(27), Fifo_full => Fifo_full(14), 
737South => south_2_north(5)(14), East => east_2_west(5)(14) , Grant => Signal_grant(5)(14));
738
739Arbiter_5_15 : Arbiter
740
741PORT MAP (Request => Request(111), North => south_2_north(4)(15), West => east_2_west(4)(14), P => Signal_priority(27), Fifo_full => Fifo_full(15), 
742South => south_2_north(5)(15), East => east_2_west(5)(15) , Grant => Signal_grant(5)(15));
743
744Arbiter_5_16 : Arbiter
745
746PORT MAP (Request => Request(96), North => south_2_north(4)(16), West => east_2_west(4)(15), P => Signal_priority(27), Fifo_full => Fifo_full(16), 
747South => south_2_north(5)(16), East => east_2_west(5)(16) , Grant => Signal_grant(5)(16));
748
749-------------------------- Diagonale n° 6
750
751
752Arbiter_6_1 : Arbiter
753
754PORT MAP (Request => Request(81), North => south_2_north(5)(1), West => east_2_west(5)(16), P => Signal_priority(26), Fifo_full => Fifo_full(1), 
755South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
756
757Arbiter_6_2 : Arbiter
758
759PORT MAP (Request => Request(66), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(26), Fifo_full => Fifo_full(2), 
760South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
761
762Arbiter_6_3 : Arbiter
763
764PORT MAP (Request => Request(51), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(26), Fifo_full => Fifo_full(3), 
765South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
766
767Arbiter_6_4 : Arbiter
768
769PORT MAP (Request => Request(36), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(26), Fifo_full => Fifo_full(4), 
770South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
771
772Arbiter_6_5 : Arbiter
773
774PORT MAP (Request => Request(21), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(26), Fifo_full => Fifo_full(5), 
775South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
776
777Arbiter_6_6 : Arbiter
778
779PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(26), Fifo_full => Fifo_full(6), 
780South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
781
782Arbiter_6_7 : Arbiter
783
784PORT MAP (Request => Request(247), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(26), Fifo_full => Fifo_full(7), 
785South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
786
787Arbiter_6_8 : Arbiter
788
789PORT MAP (Request => Request(232), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(26), Fifo_full => Fifo_full(8), 
790South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
791
792Arbiter_6_9 : Arbiter
793
794PORT MAP (Request => Request(217), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(26), Fifo_full => Fifo_full(9), 
795South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
796
797Arbiter_6_10 : Arbiter
798
799PORT MAP (Request => Request(202), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(26), Fifo_full => Fifo_full(10), 
800South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
801
802Arbiter_6_11 : Arbiter
803
804PORT MAP (Request => Request(187), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(26), Fifo_full => Fifo_full(11), 
805South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
806
807Arbiter_6_12 : Arbiter
808
809PORT MAP (Request => Request(172), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(26), Fifo_full => Fifo_full(12), 
810South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
811
812Arbiter_6_13 : Arbiter
813
814PORT MAP (Request => Request(157), North => south_2_north(5)(13), West => east_2_west(5)(12), P => Signal_priority(26), Fifo_full => Fifo_full(13), 
815South => south_2_north(6)(13), East => east_2_west(6)(13) , Grant => Signal_grant(6)(13));
816
817Arbiter_6_14 : Arbiter
818
819PORT MAP (Request => Request(142), North => south_2_north(5)(14), West => east_2_west(5)(13), P => Signal_priority(26), Fifo_full => Fifo_full(14), 
820South => south_2_north(6)(14), East => east_2_west(6)(14) , Grant => Signal_grant(6)(14));
821
822Arbiter_6_15 : Arbiter
823
824PORT MAP (Request => Request(127), North => south_2_north(5)(15), West => east_2_west(5)(14), P => Signal_priority(26), Fifo_full => Fifo_full(15), 
825South => south_2_north(6)(15), East => east_2_west(6)(15) , Grant => Signal_grant(6)(15));
826
827Arbiter_6_16 : Arbiter
828
829PORT MAP (Request => Request(112), North => south_2_north(5)(16), West => east_2_west(5)(15), P => Signal_priority(26), Fifo_full => Fifo_full(16), 
830South => south_2_north(6)(16), East => east_2_west(6)(16) , Grant => Signal_grant(6)(16));
831
832-------------------------- Diagonale n° 7
833
834
835Arbiter_7_1 : Arbiter
836
837PORT MAP (Request => Request(97), North => south_2_north(6)(1), West => east_2_west(6)(16), P => Signal_priority(25), Fifo_full => Fifo_full(1), 
838South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
839
840Arbiter_7_2 : Arbiter
841
842PORT MAP (Request => Request(82), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(25), Fifo_full => Fifo_full(2), 
843South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
844
845Arbiter_7_3 : Arbiter
846
847PORT MAP (Request => Request(67), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(25), Fifo_full => Fifo_full(3), 
848South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
849
850Arbiter_7_4 : Arbiter
851
852PORT MAP (Request => Request(52), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(25), Fifo_full => Fifo_full(4), 
853South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
854
855Arbiter_7_5 : Arbiter
856
857PORT MAP (Request => Request(37), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(25), Fifo_full => Fifo_full(5), 
858South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
859
860Arbiter_7_6 : Arbiter
861
862PORT MAP (Request => Request(22), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(25), Fifo_full => Fifo_full(6), 
863South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
864
865Arbiter_7_7 : Arbiter
866
867PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(25), Fifo_full => Fifo_full(7), 
868South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
869
870Arbiter_7_8 : Arbiter
871
872PORT MAP (Request => Request(248), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(25), Fifo_full => Fifo_full(8), 
873South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
874
875Arbiter_7_9 : Arbiter
876
877PORT MAP (Request => Request(233), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(25), Fifo_full => Fifo_full(9), 
878South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
879
880Arbiter_7_10 : Arbiter
881
882PORT MAP (Request => Request(218), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(25), Fifo_full => Fifo_full(10), 
883South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
884
885Arbiter_7_11 : Arbiter
886
887PORT MAP (Request => Request(203), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(25), Fifo_full => Fifo_full(11), 
888South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
889
890Arbiter_7_12 : Arbiter
891
892PORT MAP (Request => Request(188), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(25), Fifo_full => Fifo_full(12), 
893South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
894
895Arbiter_7_13 : Arbiter
896
897PORT MAP (Request => Request(173), North => south_2_north(6)(13), West => east_2_west(6)(12), P => Signal_priority(25), Fifo_full => Fifo_full(13), 
898South => south_2_north(7)(13), East => east_2_west(7)(13) , Grant => Signal_grant(7)(13));
899
900Arbiter_7_14 : Arbiter
901
902PORT MAP (Request => Request(158), North => south_2_north(6)(14), West => east_2_west(6)(13), P => Signal_priority(25), Fifo_full => Fifo_full(14), 
903South => south_2_north(7)(14), East => east_2_west(7)(14) , Grant => Signal_grant(7)(14));
904
905Arbiter_7_15 : Arbiter
906
907PORT MAP (Request => Request(143), North => south_2_north(6)(15), West => east_2_west(6)(14), P => Signal_priority(25), Fifo_full => Fifo_full(15), 
908South => south_2_north(7)(15), East => east_2_west(7)(15) , Grant => Signal_grant(7)(15));
909
910Arbiter_7_16 : Arbiter
911
912PORT MAP (Request => Request(128), North => south_2_north(6)(16), West => east_2_west(6)(15), P => Signal_priority(25), Fifo_full => Fifo_full(16), 
913South => south_2_north(7)(16), East => east_2_west(7)(16) , Grant => Signal_grant(7)(16));
914
915-------------------------- Diagonale n° 8
916
917
918Arbiter_8_1 : Arbiter
919
920PORT MAP (Request => Request(113), North => south_2_north(7)(1), West => east_2_west(7)(16), P => Signal_priority(24), Fifo_full => Fifo_full(1), 
921South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
922
923Arbiter_8_2 : Arbiter
924
925PORT MAP (Request => Request(98), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(24), Fifo_full => Fifo_full(2), 
926South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
927
928Arbiter_8_3 : Arbiter
929
930PORT MAP (Request => Request(83), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(24), Fifo_full => Fifo_full(3), 
931South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
932
933Arbiter_8_4 : Arbiter
934
935PORT MAP (Request => Request(68), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(24), Fifo_full => Fifo_full(4), 
936South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
937
938Arbiter_8_5 : Arbiter
939
940PORT MAP (Request => Request(53), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(24), Fifo_full => Fifo_full(5), 
941South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
942
943Arbiter_8_6 : Arbiter
944
945PORT MAP (Request => Request(38), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(24), Fifo_full => Fifo_full(6), 
946South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
947
948Arbiter_8_7 : Arbiter
949
950PORT MAP (Request => Request(23), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(24), Fifo_full => Fifo_full(7), 
951South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
952
953Arbiter_8_8 : Arbiter
954
955PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(24), Fifo_full => Fifo_full(8), 
956South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
957
958Arbiter_8_9 : Arbiter
959
960PORT MAP (Request => Request(249), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(24), Fifo_full => Fifo_full(9), 
961South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
962
963Arbiter_8_10 : Arbiter
964
965PORT MAP (Request => Request(234), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(24), Fifo_full => Fifo_full(10), 
966South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
967
968Arbiter_8_11 : Arbiter
969
970PORT MAP (Request => Request(219), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(24), Fifo_full => Fifo_full(11), 
971South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
972
973Arbiter_8_12 : Arbiter
974
975PORT MAP (Request => Request(204), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(24), Fifo_full => Fifo_full(12), 
976South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
977
978Arbiter_8_13 : Arbiter
979
980PORT MAP (Request => Request(189), North => south_2_north(7)(13), West => east_2_west(7)(12), P => Signal_priority(24), Fifo_full => Fifo_full(13), 
981South => south_2_north(8)(13), East => east_2_west(8)(13) , Grant => Signal_grant(8)(13));
982
983Arbiter_8_14 : Arbiter
984
985PORT MAP (Request => Request(174), North => south_2_north(7)(14), West => east_2_west(7)(13), P => Signal_priority(24), Fifo_full => Fifo_full(14), 
986South => south_2_north(8)(14), East => east_2_west(8)(14) , Grant => Signal_grant(8)(14));
987
988Arbiter_8_15 : Arbiter
989
990PORT MAP (Request => Request(159), North => south_2_north(7)(15), West => east_2_west(7)(14), P => Signal_priority(24), Fifo_full => Fifo_full(15), 
991South => south_2_north(8)(15), East => east_2_west(8)(15) , Grant => Signal_grant(8)(15));
992
993Arbiter_8_16 : Arbiter
994
995PORT MAP (Request => Request(144), North => south_2_north(7)(16), West => east_2_west(7)(15), P => Signal_priority(24), Fifo_full => Fifo_full(16), 
996South => south_2_north(8)(16), East => east_2_west(8)(16) , Grant => Signal_grant(8)(16));
997
998-------------------------- Diagonale n° 9
999
1000
1001Arbiter_9_1 : Arbiter
1002
1003PORT MAP (Request => Request(129), North => south_2_north(8)(1), West => east_2_west(8)(16), P => Signal_priority(23), Fifo_full => Fifo_full(1), 
1004South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
1005
1006Arbiter_9_2 : Arbiter
1007
1008PORT MAP (Request => Request(114), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(23), Fifo_full => Fifo_full(2), 
1009South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
1010
1011Arbiter_9_3 : Arbiter
1012
1013PORT MAP (Request => Request(99), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(23), Fifo_full => Fifo_full(3), 
1014South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
1015
1016Arbiter_9_4 : Arbiter
1017
1018PORT MAP (Request => Request(84), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(23), Fifo_full => Fifo_full(4), 
1019South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
1020
1021Arbiter_9_5 : Arbiter
1022
1023PORT MAP (Request => Request(69), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(23), Fifo_full => Fifo_full(5), 
1024South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
1025
1026Arbiter_9_6 : Arbiter
1027
1028PORT MAP (Request => Request(54), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(23), Fifo_full => Fifo_full(6), 
1029South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
1030
1031Arbiter_9_7 : Arbiter
1032
1033PORT MAP (Request => Request(39), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(23), Fifo_full => Fifo_full(7), 
1034South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
1035
1036Arbiter_9_8 : Arbiter
1037
1038PORT MAP (Request => Request(24), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(23), Fifo_full => Fifo_full(8), 
1039South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
1040
1041Arbiter_9_9 : Arbiter
1042
1043PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(23), Fifo_full => Fifo_full(9), 
1044South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
1045
1046Arbiter_9_10 : Arbiter
1047
1048PORT MAP (Request => Request(250), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(23), Fifo_full => Fifo_full(10), 
1049South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
1050
1051Arbiter_9_11 : Arbiter
1052
1053PORT MAP (Request => Request(235), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(23), Fifo_full => Fifo_full(11), 
1054South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
1055
1056Arbiter_9_12 : Arbiter
1057
1058PORT MAP (Request => Request(220), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(23), Fifo_full => Fifo_full(12), 
1059South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
1060
1061Arbiter_9_13 : Arbiter
1062
1063PORT MAP (Request => Request(205), North => south_2_north(8)(13), West => east_2_west(8)(12), P => Signal_priority(23), Fifo_full => Fifo_full(13), 
1064South => south_2_north(9)(13), East => east_2_west(9)(13) , Grant => Signal_grant(9)(13));
1065
1066Arbiter_9_14 : Arbiter
1067
1068PORT MAP (Request => Request(190), North => south_2_north(8)(14), West => east_2_west(8)(13), P => Signal_priority(23), Fifo_full => Fifo_full(14), 
1069South => south_2_north(9)(14), East => east_2_west(9)(14) , Grant => Signal_grant(9)(14));
1070
1071Arbiter_9_15 : Arbiter
1072
1073PORT MAP (Request => Request(175), North => south_2_north(8)(15), West => east_2_west(8)(14), P => Signal_priority(23), Fifo_full => Fifo_full(15), 
1074South => south_2_north(9)(15), East => east_2_west(9)(15) , Grant => Signal_grant(9)(15));
1075
1076Arbiter_9_16 : Arbiter
1077
1078PORT MAP (Request => Request(160), North => south_2_north(8)(16), West => east_2_west(8)(15), P => Signal_priority(23), Fifo_full => Fifo_full(16), 
1079South => south_2_north(9)(16), East => east_2_west(9)(16) , Grant => Signal_grant(9)(16));
1080
1081-------------------------- Diagonale n° 10
1082
1083
1084Arbiter_10_1 : Arbiter
1085
1086PORT MAP (Request => Request(145), North => south_2_north(9)(1), West => east_2_west(9)(16), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
1087South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
1088
1089Arbiter_10_2 : Arbiter
1090
1091PORT MAP (Request => Request(130), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
1092South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
1093
1094Arbiter_10_3 : Arbiter
1095
1096PORT MAP (Request => Request(115), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
1097South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
1098
1099Arbiter_10_4 : Arbiter
1100
1101PORT MAP (Request => Request(100), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
1102South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
1103
1104Arbiter_10_5 : Arbiter
1105
1106PORT MAP (Request => Request(85), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
1107South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
1108
1109Arbiter_10_6 : Arbiter
1110
1111PORT MAP (Request => Request(70), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
1112South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
1113
1114Arbiter_10_7 : Arbiter
1115
1116PORT MAP (Request => Request(55), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
1117South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
1118
1119Arbiter_10_8 : Arbiter
1120
1121PORT MAP (Request => Request(40), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
1122South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
1123
1124Arbiter_10_9 : Arbiter
1125
1126PORT MAP (Request => Request(25), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
1127South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
1128
1129Arbiter_10_10 : Arbiter
1130
1131PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
1132South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
1133
1134Arbiter_10_11 : Arbiter
1135
1136PORT MAP (Request => Request(251), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
1137South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
1138
1139Arbiter_10_12 : Arbiter
1140
1141PORT MAP (Request => Request(236), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
1142South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
1143
1144Arbiter_10_13 : Arbiter
1145
1146PORT MAP (Request => Request(221), North => south_2_north(9)(13), West => east_2_west(9)(12), P => Signal_priority(22), Fifo_full => Fifo_full(13), 
1147South => south_2_north(10)(13), East => east_2_west(10)(13) , Grant => Signal_grant(10)(13));
1148
1149Arbiter_10_14 : Arbiter
1150
1151PORT MAP (Request => Request(206), North => south_2_north(9)(14), West => east_2_west(9)(13), P => Signal_priority(22), Fifo_full => Fifo_full(14), 
1152South => south_2_north(10)(14), East => east_2_west(10)(14) , Grant => Signal_grant(10)(14));
1153
1154Arbiter_10_15 : Arbiter
1155
1156PORT MAP (Request => Request(191), North => south_2_north(9)(15), West => east_2_west(9)(14), P => Signal_priority(22), Fifo_full => Fifo_full(15), 
1157South => south_2_north(10)(15), East => east_2_west(10)(15) , Grant => Signal_grant(10)(15));
1158
1159Arbiter_10_16 : Arbiter
1160
1161PORT MAP (Request => Request(176), North => south_2_north(9)(16), West => east_2_west(9)(15), P => Signal_priority(22), Fifo_full => Fifo_full(16), 
1162South => south_2_north(10)(16), East => east_2_west(10)(16) , Grant => Signal_grant(10)(16));
1163
1164-------------------------- Diagonale n° 11
1165
1166
1167Arbiter_11_1 : Arbiter
1168
1169PORT MAP (Request => Request(161), North => south_2_north(10)(1), West => east_2_west(10)(16), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
1170South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
1171
1172Arbiter_11_2 : Arbiter
1173
1174PORT MAP (Request => Request(146), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
1175South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
1176
1177Arbiter_11_3 : Arbiter
1178
1179PORT MAP (Request => Request(131), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
1180South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
1181
1182Arbiter_11_4 : Arbiter
1183
1184PORT MAP (Request => Request(116), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
1185South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
1186
1187Arbiter_11_5 : Arbiter
1188
1189PORT MAP (Request => Request(101), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
1190South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
1191
1192Arbiter_11_6 : Arbiter
1193
1194PORT MAP (Request => Request(86), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
1195South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
1196
1197Arbiter_11_7 : Arbiter
1198
1199PORT MAP (Request => Request(71), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
1200South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
1201
1202Arbiter_11_8 : Arbiter
1203
1204PORT MAP (Request => Request(56), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
1205South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
1206
1207Arbiter_11_9 : Arbiter
1208
1209PORT MAP (Request => Request(41), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
1210South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
1211
1212Arbiter_11_10 : Arbiter
1213
1214PORT MAP (Request => Request(26), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
1215South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
1216
1217Arbiter_11_11 : Arbiter
1218
1219PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
1220South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
1221
1222Arbiter_11_12 : Arbiter
1223
1224PORT MAP (Request => Request(252), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
1225South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
1226
1227Arbiter_11_13 : Arbiter
1228
1229PORT MAP (Request => Request(237), North => south_2_north(10)(13), West => east_2_west(10)(12), P => Signal_priority(21), Fifo_full => Fifo_full(13), 
1230South => south_2_north(11)(13), East => east_2_west(11)(13) , Grant => Signal_grant(11)(13));
1231
1232Arbiter_11_14 : Arbiter
1233
1234PORT MAP (Request => Request(222), North => south_2_north(10)(14), West => east_2_west(10)(13), P => Signal_priority(21), Fifo_full => Fifo_full(14), 
1235South => south_2_north(11)(14), East => east_2_west(11)(14) , Grant => Signal_grant(11)(14));
1236
1237Arbiter_11_15 : Arbiter
1238
1239PORT MAP (Request => Request(207), North => south_2_north(10)(15), West => east_2_west(10)(14), P => Signal_priority(21), Fifo_full => Fifo_full(15), 
1240South => south_2_north(11)(15), East => east_2_west(11)(15) , Grant => Signal_grant(11)(15));
1241
1242Arbiter_11_16 : Arbiter
1243
1244PORT MAP (Request => Request(192), North => south_2_north(10)(16), West => east_2_west(10)(15), P => Signal_priority(21), Fifo_full => Fifo_full(16), 
1245South => south_2_north(11)(16), East => east_2_west(11)(16) , Grant => Signal_grant(11)(16));
1246
1247-------------------------- Diagonale n° 12
1248
1249
1250Arbiter_12_1 : Arbiter
1251
1252PORT MAP (Request => Request(177), North => south_2_north(11)(1), West => east_2_west(11)(16), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
1253South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
1254
1255Arbiter_12_2 : Arbiter
1256
1257PORT MAP (Request => Request(162), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
1258South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
1259
1260Arbiter_12_3 : Arbiter
1261
1262PORT MAP (Request => Request(147), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
1263South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
1264
1265Arbiter_12_4 : Arbiter
1266
1267PORT MAP (Request => Request(132), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
1268South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
1269
1270Arbiter_12_5 : Arbiter
1271
1272PORT MAP (Request => Request(117), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
1273South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
1274
1275Arbiter_12_6 : Arbiter
1276
1277PORT MAP (Request => Request(102), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
1278South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
1279
1280Arbiter_12_7 : Arbiter
1281
1282PORT MAP (Request => Request(87), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
1283South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
1284
1285Arbiter_12_8 : Arbiter
1286
1287PORT MAP (Request => Request(72), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
1288South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
1289
1290Arbiter_12_9 : Arbiter
1291
1292PORT MAP (Request => Request(57), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
1293South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
1294
1295Arbiter_12_10 : Arbiter
1296
1297PORT MAP (Request => Request(42), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
1298South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
1299
1300Arbiter_12_11 : Arbiter
1301
1302PORT MAP (Request => Request(27), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
1303South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
1304
1305Arbiter_12_12 : Arbiter
1306
1307PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
1308South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
1309
1310Arbiter_12_13 : Arbiter
1311
1312PORT MAP (Request => Request(253), North => south_2_north(11)(13), West => east_2_west(11)(12), P => Signal_priority(20), Fifo_full => Fifo_full(13), 
1313South => south_2_north(12)(13), East => east_2_west(12)(13) , Grant => Signal_grant(12)(13));
1314
1315Arbiter_12_14 : Arbiter
1316
1317PORT MAP (Request => Request(238), North => south_2_north(11)(14), West => east_2_west(11)(13), P => Signal_priority(20), Fifo_full => Fifo_full(14), 
1318South => south_2_north(12)(14), East => east_2_west(12)(14) , Grant => Signal_grant(12)(14));
1319
1320Arbiter_12_15 : Arbiter
1321
1322PORT MAP (Request => Request(223), North => south_2_north(11)(15), West => east_2_west(11)(14), P => Signal_priority(20), Fifo_full => Fifo_full(15), 
1323South => south_2_north(12)(15), East => east_2_west(12)(15) , Grant => Signal_grant(12)(15));
1324
1325Arbiter_12_16 : Arbiter
1326
1327PORT MAP (Request => Request(208), North => south_2_north(11)(16), West => east_2_west(11)(15), P => Signal_priority(20), Fifo_full => Fifo_full(16), 
1328South => south_2_north(12)(16), East => east_2_west(12)(16) , Grant => Signal_grant(12)(16));
1329
1330-------------------------- Diagonale n° 13
1331
1332
1333Arbiter_13_1 : Arbiter
1334
1335PORT MAP (Request => Request(193), North => south_2_north(12)(1), West => east_2_west(12)(16), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
1336South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
1337
1338Arbiter_13_2 : Arbiter
1339
1340PORT MAP (Request => Request(178), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
1341South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
1342
1343Arbiter_13_3 : Arbiter
1344
1345PORT MAP (Request => Request(163), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
1346South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
1347
1348Arbiter_13_4 : Arbiter
1349
1350PORT MAP (Request => Request(148), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
1351South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1352
1353Arbiter_13_5 : Arbiter
1354
1355PORT MAP (Request => Request(133), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
1356South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1357
1358Arbiter_13_6 : Arbiter
1359
1360PORT MAP (Request => Request(118), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
1361South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1362
1363Arbiter_13_7 : Arbiter
1364
1365PORT MAP (Request => Request(103), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
1366South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1367
1368Arbiter_13_8 : Arbiter
1369
1370PORT MAP (Request => Request(88), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
1371South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1372
1373Arbiter_13_9 : Arbiter
1374
1375PORT MAP (Request => Request(73), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
1376South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1377
1378Arbiter_13_10 : Arbiter
1379
1380PORT MAP (Request => Request(58), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
1381South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1382
1383Arbiter_13_11 : Arbiter
1384
1385PORT MAP (Request => Request(43), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
1386South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1387
1388Arbiter_13_12 : Arbiter
1389
1390PORT MAP (Request => Request(28), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
1391South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1392
1393Arbiter_13_13 : Arbiter
1394
1395PORT MAP (Request => Request(13), North => south_2_north(12)(13), West => east_2_west(12)(12), P => Signal_priority(19), Fifo_full => Fifo_full(13), 
1396South => south_2_north(13)(13), East => east_2_west(13)(13) , Grant => Signal_grant(13)(13));
1397
1398Arbiter_13_14 : Arbiter
1399
1400PORT MAP (Request => Request(254), North => south_2_north(12)(14), West => east_2_west(12)(13), P => Signal_priority(19), Fifo_full => Fifo_full(14), 
1401South => south_2_north(13)(14), East => east_2_west(13)(14) , Grant => Signal_grant(13)(14));
1402
1403Arbiter_13_15 : Arbiter
1404
1405PORT MAP (Request => Request(239), North => south_2_north(12)(15), West => east_2_west(12)(14), P => Signal_priority(19), Fifo_full => Fifo_full(15), 
1406South => south_2_north(13)(15), East => east_2_west(13)(15) , Grant => Signal_grant(13)(15));
1407
1408Arbiter_13_16 : Arbiter
1409
1410PORT MAP (Request => Request(224), North => south_2_north(12)(16), West => east_2_west(12)(15), P => Signal_priority(19), Fifo_full => Fifo_full(16), 
1411South => south_2_north(13)(16), East => east_2_west(13)(16) , Grant => Signal_grant(13)(16));
1412
1413-------------------------- Diagonale n° 14
1414
1415
1416Arbiter_14_1 : Arbiter
1417
1418PORT MAP (Request => Request(209), North => south_2_north(13)(1), West => east_2_west(13)(16), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
1419South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1420
1421Arbiter_14_2 : Arbiter
1422
1423PORT MAP (Request => Request(194), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
1424South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1425
1426Arbiter_14_3 : Arbiter
1427
1428PORT MAP (Request => Request(179), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
1429South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1430
1431Arbiter_14_4 : Arbiter
1432
1433PORT MAP (Request => Request(164), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
1434South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1435
1436Arbiter_14_5 : Arbiter
1437
1438PORT MAP (Request => Request(149), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
1439South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1440
1441Arbiter_14_6 : Arbiter
1442
1443PORT MAP (Request => Request(134), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
1444South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1445
1446Arbiter_14_7 : Arbiter
1447
1448PORT MAP (Request => Request(119), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
1449South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1450
1451Arbiter_14_8 : Arbiter
1452
1453PORT MAP (Request => Request(104), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
1454South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1455
1456Arbiter_14_9 : Arbiter
1457
1458PORT MAP (Request => Request(89), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
1459South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1460
1461Arbiter_14_10 : Arbiter
1462
1463PORT MAP (Request => Request(74), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
1464South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1465
1466Arbiter_14_11 : Arbiter
1467
1468PORT MAP (Request => Request(59), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
1469South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1470
1471Arbiter_14_12 : Arbiter
1472
1473PORT MAP (Request => Request(44), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
1474South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1475
1476Arbiter_14_13 : Arbiter
1477
1478PORT MAP (Request => Request(29), North => south_2_north(13)(13), West => east_2_west(13)(12), P => Signal_priority(18), Fifo_full => Fifo_full(13), 
1479South => south_2_north(14)(13), East => east_2_west(14)(13) , Grant => Signal_grant(14)(13));
1480
1481Arbiter_14_14 : Arbiter
1482
1483PORT MAP (Request => Request(14), North => south_2_north(13)(14), West => east_2_west(13)(13), P => Signal_priority(18), Fifo_full => Fifo_full(14), 
1484South => south_2_north(14)(14), East => east_2_west(14)(14) , Grant => Signal_grant(14)(14));
1485
1486Arbiter_14_15 : Arbiter
1487
1488PORT MAP (Request => Request(255), North => south_2_north(13)(15), West => east_2_west(13)(14), P => Signal_priority(18), Fifo_full => Fifo_full(15), 
1489South => south_2_north(14)(15), East => east_2_west(14)(15) , Grant => Signal_grant(14)(15));
1490
1491Arbiter_14_16 : Arbiter
1492
1493PORT MAP (Request => Request(240), North => south_2_north(13)(16), West => east_2_west(13)(15), P => Signal_priority(18), Fifo_full => Fifo_full(16), 
1494South => south_2_north(14)(16), East => east_2_west(14)(16) , Grant => Signal_grant(14)(16));
1495
1496-------------------------- Diagonale n° 15
1497
1498
1499Arbiter_15_1 : Arbiter
1500
1501PORT MAP (Request => Request(225), North => south_2_north(14)(1), West => east_2_west(14)(16), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
1502South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1503
1504Arbiter_15_2 : Arbiter
1505
1506PORT MAP (Request => Request(210), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
1507South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1508
1509Arbiter_15_3 : Arbiter
1510
1511PORT MAP (Request => Request(195), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
1512South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1513
1514Arbiter_15_4 : Arbiter
1515
1516PORT MAP (Request => Request(180), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
1517South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1518
1519Arbiter_15_5 : Arbiter
1520
1521PORT MAP (Request => Request(165), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
1522South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1523
1524Arbiter_15_6 : Arbiter
1525
1526PORT MAP (Request => Request(150), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
1527South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1528
1529Arbiter_15_7 : Arbiter
1530
1531PORT MAP (Request => Request(135), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
1532South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1533
1534Arbiter_15_8 : Arbiter
1535
1536PORT MAP (Request => Request(120), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
1537South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1538
1539Arbiter_15_9 : Arbiter
1540
1541PORT MAP (Request => Request(105), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
1542South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1543
1544Arbiter_15_10 : Arbiter
1545
1546PORT MAP (Request => Request(90), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
1547South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1548
1549Arbiter_15_11 : Arbiter
1550
1551PORT MAP (Request => Request(75), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
1552South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1553
1554Arbiter_15_12 : Arbiter
1555
1556PORT MAP (Request => Request(60), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
1557South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1558
1559Arbiter_15_13 : Arbiter
1560
1561PORT MAP (Request => Request(45), North => south_2_north(14)(13), West => east_2_west(14)(12), P => Signal_priority(17), Fifo_full => Fifo_full(13), 
1562South => south_2_north(15)(13), East => east_2_west(15)(13) , Grant => Signal_grant(15)(13));
1563
1564Arbiter_15_14 : Arbiter
1565
1566PORT MAP (Request => Request(30), North => south_2_north(14)(14), West => east_2_west(14)(13), P => Signal_priority(17), Fifo_full => Fifo_full(14), 
1567South => south_2_north(15)(14), East => east_2_west(15)(14) , Grant => Signal_grant(15)(14));
1568
1569Arbiter_15_15 : Arbiter
1570
1571PORT MAP (Request => Request(15), North => south_2_north(14)(15), West => east_2_west(14)(14), P => Signal_priority(17), Fifo_full => Fifo_full(15), 
1572South => south_2_north(15)(15), East => east_2_west(15)(15) , Grant => Signal_grant(15)(15));
1573
1574Arbiter_15_16 : Arbiter
1575
1576PORT MAP (Request => Request(256), North => south_2_north(14)(16), West => east_2_west(14)(15), P => Signal_priority(17), Fifo_full => Fifo_full(16), 
1577South => south_2_north(15)(16), East => east_2_west(15)(16) , Grant => Signal_grant(15)(16));
1578
1579-------------------------- Diagonale n° 16
1580
1581
1582Arbiter_16_1 : Arbiter
1583
1584PORT MAP (Request => Request(241), North => south_2_north(15)(1), West => east_2_west(15)(16), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
1585South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1586
1587Arbiter_16_2 : Arbiter
1588
1589PORT MAP (Request => Request(226), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
1590South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1591
1592Arbiter_16_3 : Arbiter
1593
1594PORT MAP (Request => Request(211), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
1595South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1596
1597Arbiter_16_4 : Arbiter
1598
1599PORT MAP (Request => Request(196), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
1600South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1601
1602Arbiter_16_5 : Arbiter
1603
1604PORT MAP (Request => Request(181), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
1605South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1606
1607Arbiter_16_6 : Arbiter
1608
1609PORT MAP (Request => Request(166), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
1610South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1611
1612Arbiter_16_7 : Arbiter
1613
1614PORT MAP (Request => Request(151), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
1615South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1616
1617Arbiter_16_8 : Arbiter
1618
1619PORT MAP (Request => Request(136), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
1620South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1621
1622Arbiter_16_9 : Arbiter
1623
1624PORT MAP (Request => Request(121), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
1625South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1626
1627Arbiter_16_10 : Arbiter
1628
1629PORT MAP (Request => Request(106), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
1630South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1631
1632Arbiter_16_11 : Arbiter
1633
1634PORT MAP (Request => Request(91), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
1635South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1636
1637Arbiter_16_12 : Arbiter
1638
1639PORT MAP (Request => Request(76), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
1640South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1641
1642Arbiter_16_13 : Arbiter
1643
1644PORT MAP (Request => Request(61), North => south_2_north(15)(13), West => east_2_west(15)(12), P => Signal_priority(16), Fifo_full => Fifo_full(13), 
1645South => south_2_north(16)(13), East => east_2_west(16)(13) , Grant => Signal_grant(16)(13));
1646
1647Arbiter_16_14 : Arbiter
1648
1649PORT MAP (Request => Request(46), North => south_2_north(15)(14), West => east_2_west(15)(13), P => Signal_priority(16), Fifo_full => Fifo_full(14), 
1650South => south_2_north(16)(14), East => east_2_west(16)(14) , Grant => Signal_grant(16)(14));
1651
1652Arbiter_16_15 : Arbiter
1653
1654PORT MAP (Request => Request(31), North => south_2_north(15)(15), West => east_2_west(15)(14), P => Signal_priority(16), Fifo_full => Fifo_full(15), 
1655South => south_2_north(16)(15), East => east_2_west(16)(15) , Grant => Signal_grant(16)(15));
1656
1657Arbiter_16_16 : Arbiter
1658
1659PORT MAP (Request => Request(16), North => south_2_north(15)(16), West => east_2_west(15)(15), P => Signal_priority(16), Fifo_full => Fifo_full(16), 
1660South => south_2_north(16)(16), East => east_2_west(16)(16) , Grant => Signal_grant(16)(16));
1661
1662-------------------------- Diagonale n° 17
1663
1664
1665Arbiter_17_1 : Arbiter
1666
1667PORT MAP (Request => Request(1), North => south_2_north(16)(1), West => east_2_west(16)(16), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
1668South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1669
1670Arbiter_17_2 : Arbiter
1671
1672PORT MAP (Request => Request(242), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
1673South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1674
1675Arbiter_17_3 : Arbiter
1676
1677PORT MAP (Request => Request(227), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
1678South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1679
1680Arbiter_17_4 : Arbiter
1681
1682PORT MAP (Request => Request(212), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
1683South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1684
1685Arbiter_17_5 : Arbiter
1686
1687PORT MAP (Request => Request(197), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
1688South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1689
1690Arbiter_17_6 : Arbiter
1691
1692PORT MAP (Request => Request(182), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
1693South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1694
1695Arbiter_17_7 : Arbiter
1696
1697PORT MAP (Request => Request(167), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
1698South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1699
1700Arbiter_17_8 : Arbiter
1701
1702PORT MAP (Request => Request(152), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
1703South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1704
1705Arbiter_17_9 : Arbiter
1706
1707PORT MAP (Request => Request(137), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
1708South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1709
1710Arbiter_17_10 : Arbiter
1711
1712PORT MAP (Request => Request(122), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
1713South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1714
1715Arbiter_17_11 : Arbiter
1716
1717PORT MAP (Request => Request(107), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
1718South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1719
1720Arbiter_17_12 : Arbiter
1721
1722PORT MAP (Request => Request(92), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
1723South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1724
1725Arbiter_17_13 : Arbiter
1726
1727PORT MAP (Request => Request(77), North => south_2_north(16)(13), West => east_2_west(16)(12), P => Signal_priority(15), Fifo_full => Fifo_full(13), 
1728South => south_2_north(17)(13), East => east_2_west(17)(13) , Grant => Signal_grant(17)(13));
1729
1730Arbiter_17_14 : Arbiter
1731
1732PORT MAP (Request => Request(62), North => south_2_north(16)(14), West => east_2_west(16)(13), P => Signal_priority(15), Fifo_full => Fifo_full(14), 
1733South => south_2_north(17)(14), East => east_2_west(17)(14) , Grant => Signal_grant(17)(14));
1734
1735Arbiter_17_15 : Arbiter
1736
1737PORT MAP (Request => Request(47), North => south_2_north(16)(15), West => east_2_west(16)(14), P => Signal_priority(15), Fifo_full => Fifo_full(15), 
1738South => south_2_north(17)(15), East => east_2_west(17)(15) , Grant => Signal_grant(17)(15));
1739
1740Arbiter_17_16 : Arbiter
1741
1742PORT MAP (Request => Request(32), North => south_2_north(16)(16), West => east_2_west(16)(15), P => Signal_priority(15), Fifo_full => Fifo_full(16), 
1743South => south_2_north(17)(16), East => east_2_west(17)(16) , Grant => Signal_grant(17)(16));
1744
1745-------------------------- Diagonale n° 18
1746
1747
1748Arbiter_18_1 : Arbiter
1749
1750PORT MAP (Request => Request(17), North => south_2_north(17)(1), West => east_2_west(17)(16), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
1751South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1752
1753Arbiter_18_2 : Arbiter
1754
1755PORT MAP (Request => Request(2), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
1756South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1757
1758Arbiter_18_3 : Arbiter
1759
1760PORT MAP (Request => Request(243), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
1761South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1762
1763Arbiter_18_4 : Arbiter
1764
1765PORT MAP (Request => Request(228), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
1766South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1767
1768Arbiter_18_5 : Arbiter
1769
1770PORT MAP (Request => Request(213), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
1771South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1772
1773Arbiter_18_6 : Arbiter
1774
1775PORT MAP (Request => Request(198), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
1776South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1777
1778Arbiter_18_7 : Arbiter
1779
1780PORT MAP (Request => Request(183), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
1781South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1782
1783Arbiter_18_8 : Arbiter
1784
1785PORT MAP (Request => Request(168), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
1786South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1787
1788Arbiter_18_9 : Arbiter
1789
1790PORT MAP (Request => Request(153), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
1791South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1792
1793Arbiter_18_10 : Arbiter
1794
1795PORT MAP (Request => Request(138), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
1796South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1797
1798Arbiter_18_11 : Arbiter
1799
1800PORT MAP (Request => Request(123), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
1801South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1802
1803Arbiter_18_12 : Arbiter
1804
1805PORT MAP (Request => Request(108), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
1806South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1807
1808Arbiter_18_13 : Arbiter
1809
1810PORT MAP (Request => Request(93), North => south_2_north(17)(13), West => east_2_west(17)(12), P => Signal_priority(14), Fifo_full => Fifo_full(13), 
1811South => south_2_north(18)(13), East => east_2_west(18)(13) , Grant => Signal_grant(18)(13));
1812
1813Arbiter_18_14 : Arbiter
1814
1815PORT MAP (Request => Request(78), North => south_2_north(17)(14), West => east_2_west(17)(13), P => Signal_priority(14), Fifo_full => Fifo_full(14), 
1816South => south_2_north(18)(14), East => east_2_west(18)(14) , Grant => Signal_grant(18)(14));
1817
1818Arbiter_18_15 : Arbiter
1819
1820PORT MAP (Request => Request(63), North => south_2_north(17)(15), West => east_2_west(17)(14), P => Signal_priority(14), Fifo_full => Fifo_full(15), 
1821South => south_2_north(18)(15), East => east_2_west(18)(15) , Grant => Signal_grant(18)(15));
1822
1823Arbiter_18_16 : Arbiter
1824
1825PORT MAP (Request => Request(48), North => south_2_north(17)(16), West => east_2_west(17)(15), P => Signal_priority(14), Fifo_full => Fifo_full(16), 
1826South => south_2_north(18)(16), East => east_2_west(18)(16) , Grant => Signal_grant(18)(16));
1827
1828-------------------------- Diagonale n° 19
1829
1830
1831Arbiter_19_1 : Arbiter
1832
1833PORT MAP (Request => Request(33), North => south_2_north(18)(1), West => east_2_west(18)(16), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
1834South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1835
1836Arbiter_19_2 : Arbiter
1837
1838PORT MAP (Request => Request(18), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
1839South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1840
1841Arbiter_19_3 : Arbiter
1842
1843PORT MAP (Request => Request(3), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
1844South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1845
1846Arbiter_19_4 : Arbiter
1847
1848PORT MAP (Request => Request(244), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
1849South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1850
1851Arbiter_19_5 : Arbiter
1852
1853PORT MAP (Request => Request(229), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
1854South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1855
1856Arbiter_19_6 : Arbiter
1857
1858PORT MAP (Request => Request(214), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
1859South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1860
1861Arbiter_19_7 : Arbiter
1862
1863PORT MAP (Request => Request(199), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
1864South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1865
1866Arbiter_19_8 : Arbiter
1867
1868PORT MAP (Request => Request(184), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
1869South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1870
1871Arbiter_19_9 : Arbiter
1872
1873PORT MAP (Request => Request(169), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
1874South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1875
1876Arbiter_19_10 : Arbiter
1877
1878PORT MAP (Request => Request(154), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
1879South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1880
1881Arbiter_19_11 : Arbiter
1882
1883PORT MAP (Request => Request(139), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
1884South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1885
1886Arbiter_19_12 : Arbiter
1887
1888PORT MAP (Request => Request(124), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
1889South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1890
1891Arbiter_19_13 : Arbiter
1892
1893PORT MAP (Request => Request(109), North => south_2_north(18)(13), West => east_2_west(18)(12), P => Signal_priority(13), Fifo_full => Fifo_full(13), 
1894South => south_2_north(19)(13), East => east_2_west(19)(13) , Grant => Signal_grant(19)(13));
1895
1896Arbiter_19_14 : Arbiter
1897
1898PORT MAP (Request => Request(94), North => south_2_north(18)(14), West => east_2_west(18)(13), P => Signal_priority(13), Fifo_full => Fifo_full(14), 
1899South => south_2_north(19)(14), East => east_2_west(19)(14) , Grant => Signal_grant(19)(14));
1900
1901Arbiter_19_15 : Arbiter
1902
1903PORT MAP (Request => Request(79), North => south_2_north(18)(15), West => east_2_west(18)(14), P => Signal_priority(13), Fifo_full => Fifo_full(15), 
1904South => south_2_north(19)(15), East => east_2_west(19)(15) , Grant => Signal_grant(19)(15));
1905
1906Arbiter_19_16 : Arbiter
1907
1908PORT MAP (Request => Request(64), North => south_2_north(18)(16), West => east_2_west(18)(15), P => Signal_priority(13), Fifo_full => Fifo_full(16), 
1909South => south_2_north(19)(16), East => east_2_west(19)(16) , Grant => Signal_grant(19)(16));
1910
1911-------------------------- Diagonale n° 20
1912
1913
1914Arbiter_20_1 : Arbiter
1915
1916PORT MAP (Request => Request(49), North => south_2_north(19)(1), West => east_2_west(19)(16), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
1917South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1918
1919Arbiter_20_2 : Arbiter
1920
1921PORT MAP (Request => Request(34), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
1922South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1923
1924Arbiter_20_3 : Arbiter
1925
1926PORT MAP (Request => Request(19), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
1927South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1928
1929Arbiter_20_4 : Arbiter
1930
1931PORT MAP (Request => Request(4), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
1932South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1933
1934Arbiter_20_5 : Arbiter
1935
1936PORT MAP (Request => Request(245), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
1937South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1938
1939Arbiter_20_6 : Arbiter
1940
1941PORT MAP (Request => Request(230), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
1942South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1943
1944Arbiter_20_7 : Arbiter
1945
1946PORT MAP (Request => Request(215), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
1947South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1948
1949Arbiter_20_8 : Arbiter
1950
1951PORT MAP (Request => Request(200), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
1952South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1953
1954Arbiter_20_9 : Arbiter
1955
1956PORT MAP (Request => Request(185), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
1957South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1958
1959Arbiter_20_10 : Arbiter
1960
1961PORT MAP (Request => Request(170), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
1962South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1963
1964Arbiter_20_11 : Arbiter
1965
1966PORT MAP (Request => Request(155), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
1967South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1968
1969Arbiter_20_12 : Arbiter
1970
1971PORT MAP (Request => Request(140), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
1972South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1973
1974Arbiter_20_13 : Arbiter
1975
1976PORT MAP (Request => Request(125), North => south_2_north(19)(13), West => east_2_west(19)(12), P => Signal_priority(12), Fifo_full => Fifo_full(13), 
1977South => south_2_north(20)(13), East => east_2_west(20)(13) , Grant => Signal_grant(20)(13));
1978
1979Arbiter_20_14 : Arbiter
1980
1981PORT MAP (Request => Request(110), North => south_2_north(19)(14), West => east_2_west(19)(13), P => Signal_priority(12), Fifo_full => Fifo_full(14), 
1982South => south_2_north(20)(14), East => east_2_west(20)(14) , Grant => Signal_grant(20)(14));
1983
1984Arbiter_20_15 : Arbiter
1985
1986PORT MAP (Request => Request(95), North => south_2_north(19)(15), West => east_2_west(19)(14), P => Signal_priority(12), Fifo_full => Fifo_full(15), 
1987South => south_2_north(20)(15), East => east_2_west(20)(15) , Grant => Signal_grant(20)(15));
1988
1989Arbiter_20_16 : Arbiter
1990
1991PORT MAP (Request => Request(80), North => south_2_north(19)(16), West => east_2_west(19)(15), P => Signal_priority(12), Fifo_full => Fifo_full(16), 
1992South => south_2_north(20)(16), East => east_2_west(20)(16) , Grant => Signal_grant(20)(16));
1993
1994-------------------------- Diagonale n° 21
1995
1996
1997Arbiter_21_1 : Arbiter
1998
1999PORT MAP (Request => Request(65), North => south_2_north(20)(1), West => east_2_west(20)(16), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
2000South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
2001
2002Arbiter_21_2 : Arbiter
2003
2004PORT MAP (Request => Request(50), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
2005South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
2006
2007Arbiter_21_3 : Arbiter
2008
2009PORT MAP (Request => Request(35), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
2010South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
2011
2012Arbiter_21_4 : Arbiter
2013
2014PORT MAP (Request => Request(20), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
2015South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
2016
2017Arbiter_21_5 : Arbiter
2018
2019PORT MAP (Request => Request(5), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
2020South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
2021
2022Arbiter_21_6 : Arbiter
2023
2024PORT MAP (Request => Request(246), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
2025South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
2026
2027Arbiter_21_7 : Arbiter
2028
2029PORT MAP (Request => Request(231), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
2030South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
2031
2032Arbiter_21_8 : Arbiter
2033
2034PORT MAP (Request => Request(216), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
2035South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
2036
2037Arbiter_21_9 : Arbiter
2038
2039PORT MAP (Request => Request(201), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
2040South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
2041
2042Arbiter_21_10 : Arbiter
2043
2044PORT MAP (Request => Request(186), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
2045South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
2046
2047Arbiter_21_11 : Arbiter
2048
2049PORT MAP (Request => Request(171), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
2050South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
2051
2052Arbiter_21_12 : Arbiter
2053
2054PORT MAP (Request => Request(156), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
2055South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
2056
2057Arbiter_21_13 : Arbiter
2058
2059PORT MAP (Request => Request(141), North => south_2_north(20)(13), West => east_2_west(20)(12), P => Signal_priority(11), Fifo_full => Fifo_full(13), 
2060South => south_2_north(21)(13), East => east_2_west(21)(13) , Grant => Signal_grant(21)(13));
2061
2062Arbiter_21_14 : Arbiter
2063
2064PORT MAP (Request => Request(126), North => south_2_north(20)(14), West => east_2_west(20)(13), P => Signal_priority(11), Fifo_full => Fifo_full(14), 
2065South => south_2_north(21)(14), East => east_2_west(21)(14) , Grant => Signal_grant(21)(14));
2066
2067Arbiter_21_15 : Arbiter
2068
2069PORT MAP (Request => Request(111), North => south_2_north(20)(15), West => east_2_west(20)(14), P => Signal_priority(11), Fifo_full => Fifo_full(15), 
2070South => south_2_north(21)(15), East => east_2_west(21)(15) , Grant => Signal_grant(21)(15));
2071
2072Arbiter_21_16 : Arbiter
2073
2074PORT MAP (Request => Request(96), North => south_2_north(20)(16), West => east_2_west(20)(15), P => Signal_priority(11), Fifo_full => Fifo_full(16), 
2075South => south_2_north(21)(16), East => east_2_west(21)(16) , Grant => Signal_grant(21)(16));
2076
2077-------------------------- Diagonale n° 22
2078
2079
2080Arbiter_22_1 : Arbiter
2081
2082PORT MAP (Request => Request(81), North => south_2_north(21)(1), West => east_2_west(21)(16), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
2083South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
2084
2085Arbiter_22_2 : Arbiter
2086
2087PORT MAP (Request => Request(66), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
2088South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
2089
2090Arbiter_22_3 : Arbiter
2091
2092PORT MAP (Request => Request(51), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
2093South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
2094
2095Arbiter_22_4 : Arbiter
2096
2097PORT MAP (Request => Request(36), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
2098South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
2099
2100Arbiter_22_5 : Arbiter
2101
2102PORT MAP (Request => Request(21), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
2103South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
2104
2105Arbiter_22_6 : Arbiter
2106
2107PORT MAP (Request => Request(6), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
2108South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
2109
2110Arbiter_22_7 : Arbiter
2111
2112PORT MAP (Request => Request(247), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
2113South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
2114
2115Arbiter_22_8 : Arbiter
2116
2117PORT MAP (Request => Request(232), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
2118South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
2119
2120Arbiter_22_9 : Arbiter
2121
2122PORT MAP (Request => Request(217), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
2123South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
2124
2125Arbiter_22_10 : Arbiter
2126
2127PORT MAP (Request => Request(202), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
2128South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
2129
2130Arbiter_22_11 : Arbiter
2131
2132PORT MAP (Request => Request(187), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
2133South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
2134
2135Arbiter_22_12 : Arbiter
2136
2137PORT MAP (Request => Request(172), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
2138South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
2139
2140Arbiter_22_13 : Arbiter
2141
2142PORT MAP (Request => Request(157), North => south_2_north(21)(13), West => east_2_west(21)(12), P => Signal_priority(10), Fifo_full => Fifo_full(13), 
2143South => south_2_north(22)(13), East => east_2_west(22)(13) , Grant => Signal_grant(22)(13));
2144
2145Arbiter_22_14 : Arbiter
2146
2147PORT MAP (Request => Request(142), North => south_2_north(21)(14), West => east_2_west(21)(13), P => Signal_priority(10), Fifo_full => Fifo_full(14), 
2148South => south_2_north(22)(14), East => east_2_west(22)(14) , Grant => Signal_grant(22)(14));
2149
2150Arbiter_22_15 : Arbiter
2151
2152PORT MAP (Request => Request(127), North => south_2_north(21)(15), West => east_2_west(21)(14), P => Signal_priority(10), Fifo_full => Fifo_full(15), 
2153South => south_2_north(22)(15), East => east_2_west(22)(15) , Grant => Signal_grant(22)(15));
2154
2155Arbiter_22_16 : Arbiter
2156
2157PORT MAP (Request => Request(112), North => south_2_north(21)(16), West => east_2_west(21)(15), P => Signal_priority(10), Fifo_full => Fifo_full(16), 
2158South => south_2_north(22)(16), East => east_2_west(22)(16) , Grant => Signal_grant(22)(16));
2159
2160-------------------------- Diagonale n° 23
2161
2162
2163Arbiter_23_1 : Arbiter
2164
2165PORT MAP (Request => Request(97), North => south_2_north(22)(1), West => east_2_west(22)(16), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
2166South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
2167
2168Arbiter_23_2 : Arbiter
2169
2170PORT MAP (Request => Request(82), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
2171South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
2172
2173Arbiter_23_3 : Arbiter
2174
2175PORT MAP (Request => Request(67), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
2176South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
2177
2178Arbiter_23_4 : Arbiter
2179
2180PORT MAP (Request => Request(52), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
2181South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
2182
2183Arbiter_23_5 : Arbiter
2184
2185PORT MAP (Request => Request(37), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
2186South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
2187
2188Arbiter_23_6 : Arbiter
2189
2190PORT MAP (Request => Request(22), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
2191South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
2192
2193Arbiter_23_7 : Arbiter
2194
2195PORT MAP (Request => Request(7), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
2196South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
2197
2198Arbiter_23_8 : Arbiter
2199
2200PORT MAP (Request => Request(248), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
2201South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
2202
2203Arbiter_23_9 : Arbiter
2204
2205PORT MAP (Request => Request(233), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
2206South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
2207
2208Arbiter_23_10 : Arbiter
2209
2210PORT MAP (Request => Request(218), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
2211South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
2212
2213Arbiter_23_11 : Arbiter
2214
2215PORT MAP (Request => Request(203), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
2216South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
2217
2218Arbiter_23_12 : Arbiter
2219
2220PORT MAP (Request => Request(188), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
2221South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
2222
2223Arbiter_23_13 : Arbiter
2224
2225PORT MAP (Request => Request(173), North => south_2_north(22)(13), West => east_2_west(22)(12), P => Signal_priority(9), Fifo_full => Fifo_full(13), 
2226South => south_2_north(23)(13), East => east_2_west(23)(13) , Grant => Signal_grant(23)(13));
2227
2228Arbiter_23_14 : Arbiter
2229
2230PORT MAP (Request => Request(158), North => south_2_north(22)(14), West => east_2_west(22)(13), P => Signal_priority(9), Fifo_full => Fifo_full(14), 
2231South => south_2_north(23)(14), East => east_2_west(23)(14) , Grant => Signal_grant(23)(14));
2232
2233Arbiter_23_15 : Arbiter
2234
2235PORT MAP (Request => Request(143), North => south_2_north(22)(15), West => east_2_west(22)(14), P => Signal_priority(9), Fifo_full => Fifo_full(15), 
2236South => south_2_north(23)(15), East => east_2_west(23)(15) , Grant => Signal_grant(23)(15));
2237
2238Arbiter_23_16 : Arbiter
2239
2240PORT MAP (Request => Request(128), North => south_2_north(22)(16), West => east_2_west(22)(15), P => Signal_priority(9), Fifo_full => Fifo_full(16), 
2241South => south_2_north(23)(16), East => east_2_west(23)(16) , Grant => Signal_grant(23)(16));
2242
2243-------------------------- Diagonale n° 24
2244
2245
2246Arbiter_24_1 : Arbiter
2247
2248PORT MAP (Request => Request(113), North => south_2_north(23)(1), West => east_2_west(23)(16), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
2249South => south_2_north(24)(1), East => east_2_west(24)(1) , Grant => Signal_grant(24)(1));
2250
2251Arbiter_24_2 : Arbiter
2252
2253PORT MAP (Request => Request(98), North => south_2_north(23)(2), West => east_2_west(23)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
2254South => south_2_north(24)(2), East => east_2_west(24)(2) , Grant => Signal_grant(24)(2));
2255
2256Arbiter_24_3 : Arbiter
2257
2258PORT MAP (Request => Request(83), North => south_2_north(23)(3), West => east_2_west(23)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
2259South => south_2_north(24)(3), East => east_2_west(24)(3) , Grant => Signal_grant(24)(3));
2260
2261Arbiter_24_4 : Arbiter
2262
2263PORT MAP (Request => Request(68), North => south_2_north(23)(4), West => east_2_west(23)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
2264South => south_2_north(24)(4), East => east_2_west(24)(4) , Grant => Signal_grant(24)(4));
2265
2266Arbiter_24_5 : Arbiter
2267
2268PORT MAP (Request => Request(53), North => south_2_north(23)(5), West => east_2_west(23)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
2269South => south_2_north(24)(5), East => east_2_west(24)(5) , Grant => Signal_grant(24)(5));
2270
2271Arbiter_24_6 : Arbiter
2272
2273PORT MAP (Request => Request(38), North => south_2_north(23)(6), West => east_2_west(23)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
2274South => south_2_north(24)(6), East => east_2_west(24)(6) , Grant => Signal_grant(24)(6));
2275
2276Arbiter_24_7 : Arbiter
2277
2278PORT MAP (Request => Request(23), North => south_2_north(23)(7), West => east_2_west(23)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
2279South => south_2_north(24)(7), East => east_2_west(24)(7) , Grant => Signal_grant(24)(7));
2280
2281Arbiter_24_8 : Arbiter
2282
2283PORT MAP (Request => Request(8), North => south_2_north(23)(8), West => east_2_west(23)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
2284South => south_2_north(24)(8), East => east_2_west(24)(8) , Grant => Signal_grant(24)(8));
2285
2286Arbiter_24_9 : Arbiter
2287
2288PORT MAP (Request => Request(249), North => south_2_north(23)(9), West => east_2_west(23)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
2289South => south_2_north(24)(9), East => east_2_west(24)(9) , Grant => Signal_grant(24)(9));
2290
2291Arbiter_24_10 : Arbiter
2292
2293PORT MAP (Request => Request(234), North => south_2_north(23)(10), West => east_2_west(23)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
2294South => south_2_north(24)(10), East => east_2_west(24)(10) , Grant => Signal_grant(24)(10));
2295
2296Arbiter_24_11 : Arbiter
2297
2298PORT MAP (Request => Request(219), North => south_2_north(23)(11), West => east_2_west(23)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
2299South => south_2_north(24)(11), East => east_2_west(24)(11) , Grant => Signal_grant(24)(11));
2300
2301Arbiter_24_12 : Arbiter
2302
2303PORT MAP (Request => Request(204), North => south_2_north(23)(12), West => east_2_west(23)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
2304South => south_2_north(24)(12), East => east_2_west(24)(12) , Grant => Signal_grant(24)(12));
2305
2306Arbiter_24_13 : Arbiter
2307
2308PORT MAP (Request => Request(189), North => south_2_north(23)(13), West => east_2_west(23)(12), P => Signal_priority(8), Fifo_full => Fifo_full(13), 
2309South => south_2_north(24)(13), East => east_2_west(24)(13) , Grant => Signal_grant(24)(13));
2310
2311Arbiter_24_14 : Arbiter
2312
2313PORT MAP (Request => Request(174), North => south_2_north(23)(14), West => east_2_west(23)(13), P => Signal_priority(8), Fifo_full => Fifo_full(14), 
2314South => south_2_north(24)(14), East => east_2_west(24)(14) , Grant => Signal_grant(24)(14));
2315
2316Arbiter_24_15 : Arbiter
2317
2318PORT MAP (Request => Request(159), North => south_2_north(23)(15), West => east_2_west(23)(14), P => Signal_priority(8), Fifo_full => Fifo_full(15), 
2319South => south_2_north(24)(15), East => east_2_west(24)(15) , Grant => Signal_grant(24)(15));
2320
2321Arbiter_24_16 : Arbiter
2322
2323PORT MAP (Request => Request(144), North => south_2_north(23)(16), West => east_2_west(23)(15), P => Signal_priority(8), Fifo_full => Fifo_full(16), 
2324South => south_2_north(24)(16), East => east_2_west(24)(16) , Grant => Signal_grant(24)(16));
2325
2326-------------------------- Diagonale n° 25
2327
2328
2329Arbiter_25_1 : Arbiter
2330
2331PORT MAP (Request => Request(129), North => south_2_north(24)(1), West => east_2_west(24)(16), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
2332South => south_2_north(25)(1), East => east_2_west(25)(1) , Grant => Signal_grant(25)(1));
2333
2334Arbiter_25_2 : Arbiter
2335
2336PORT MAP (Request => Request(114), North => south_2_north(24)(2), West => east_2_west(24)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
2337South => south_2_north(25)(2), East => east_2_west(25)(2) , Grant => Signal_grant(25)(2));
2338
2339Arbiter_25_3 : Arbiter
2340
2341PORT MAP (Request => Request(99), North => south_2_north(24)(3), West => east_2_west(24)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
2342South => south_2_north(25)(3), East => east_2_west(25)(3) , Grant => Signal_grant(25)(3));
2343
2344Arbiter_25_4 : Arbiter
2345
2346PORT MAP (Request => Request(84), North => south_2_north(24)(4), West => east_2_west(24)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
2347South => south_2_north(25)(4), East => east_2_west(25)(4) , Grant => Signal_grant(25)(4));
2348
2349Arbiter_25_5 : Arbiter
2350
2351PORT MAP (Request => Request(69), North => south_2_north(24)(5), West => east_2_west(24)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
2352South => south_2_north(25)(5), East => east_2_west(25)(5) , Grant => Signal_grant(25)(5));
2353
2354Arbiter_25_6 : Arbiter
2355
2356PORT MAP (Request => Request(54), North => south_2_north(24)(6), West => east_2_west(24)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
2357South => south_2_north(25)(6), East => east_2_west(25)(6) , Grant => Signal_grant(25)(6));
2358
2359Arbiter_25_7 : Arbiter
2360
2361PORT MAP (Request => Request(39), North => south_2_north(24)(7), West => east_2_west(24)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
2362South => south_2_north(25)(7), East => east_2_west(25)(7) , Grant => Signal_grant(25)(7));
2363
2364Arbiter_25_8 : Arbiter
2365
2366PORT MAP (Request => Request(24), North => south_2_north(24)(8), West => east_2_west(24)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
2367South => south_2_north(25)(8), East => east_2_west(25)(8) , Grant => Signal_grant(25)(8));
2368
2369Arbiter_25_9 : Arbiter
2370
2371PORT MAP (Request => Request(9), North => south_2_north(24)(9), West => east_2_west(24)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
2372South => south_2_north(25)(9), East => east_2_west(25)(9) , Grant => Signal_grant(25)(9));
2373
2374Arbiter_25_10 : Arbiter
2375
2376PORT MAP (Request => Request(250), North => south_2_north(24)(10), West => east_2_west(24)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
2377South => south_2_north(25)(10), East => east_2_west(25)(10) , Grant => Signal_grant(25)(10));
2378
2379Arbiter_25_11 : Arbiter
2380
2381PORT MAP (Request => Request(235), North => south_2_north(24)(11), West => east_2_west(24)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
2382South => south_2_north(25)(11), East => east_2_west(25)(11) , Grant => Signal_grant(25)(11));
2383
2384Arbiter_25_12 : Arbiter
2385
2386PORT MAP (Request => Request(220), North => south_2_north(24)(12), West => east_2_west(24)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
2387South => south_2_north(25)(12), East => east_2_west(25)(12) , Grant => Signal_grant(25)(12));
2388
2389Arbiter_25_13 : Arbiter
2390
2391PORT MAP (Request => Request(205), North => south_2_north(24)(13), West => east_2_west(24)(12), P => Signal_priority(7), Fifo_full => Fifo_full(13), 
2392South => south_2_north(25)(13), East => east_2_west(25)(13) , Grant => Signal_grant(25)(13));
2393
2394Arbiter_25_14 : Arbiter
2395
2396PORT MAP (Request => Request(190), North => south_2_north(24)(14), West => east_2_west(24)(13), P => Signal_priority(7), Fifo_full => Fifo_full(14), 
2397South => south_2_north(25)(14), East => east_2_west(25)(14) , Grant => Signal_grant(25)(14));
2398
2399Arbiter_25_15 : Arbiter
2400
2401PORT MAP (Request => Request(175), North => south_2_north(24)(15), West => east_2_west(24)(14), P => Signal_priority(7), Fifo_full => Fifo_full(15), 
2402South => south_2_north(25)(15), East => east_2_west(25)(15) , Grant => Signal_grant(25)(15));
2403
2404Arbiter_25_16 : Arbiter
2405
2406PORT MAP (Request => Request(160), North => south_2_north(24)(16), West => east_2_west(24)(15), P => Signal_priority(7), Fifo_full => Fifo_full(16), 
2407South => south_2_north(25)(16), East => east_2_west(25)(16) , Grant => Signal_grant(25)(16));
2408
2409-------------------------- Diagonale n° 26
2410
2411
2412Arbiter_26_1 : Arbiter
2413
2414PORT MAP (Request => Request(145), North => south_2_north(25)(1), West => east_2_west(25)(16), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
2415South => south_2_north(26)(1), East => east_2_west(26)(1) , Grant => Signal_grant(26)(1));
2416
2417Arbiter_26_2 : Arbiter
2418
2419PORT MAP (Request => Request(130), North => south_2_north(25)(2), West => east_2_west(25)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
2420South => south_2_north(26)(2), East => east_2_west(26)(2) , Grant => Signal_grant(26)(2));
2421
2422Arbiter_26_3 : Arbiter
2423
2424PORT MAP (Request => Request(115), North => south_2_north(25)(3), West => east_2_west(25)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
2425South => south_2_north(26)(3), East => east_2_west(26)(3) , Grant => Signal_grant(26)(3));
2426
2427Arbiter_26_4 : Arbiter
2428
2429PORT MAP (Request => Request(100), North => south_2_north(25)(4), West => east_2_west(25)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
2430South => south_2_north(26)(4), East => east_2_west(26)(4) , Grant => Signal_grant(26)(4));
2431
2432Arbiter_26_5 : Arbiter
2433
2434PORT MAP (Request => Request(85), North => south_2_north(25)(5), West => east_2_west(25)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
2435South => south_2_north(26)(5), East => east_2_west(26)(5) , Grant => Signal_grant(26)(5));
2436
2437Arbiter_26_6 : Arbiter
2438
2439PORT MAP (Request => Request(70), North => south_2_north(25)(6), West => east_2_west(25)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
2440South => south_2_north(26)(6), East => east_2_west(26)(6) , Grant => Signal_grant(26)(6));
2441
2442Arbiter_26_7 : Arbiter
2443
2444PORT MAP (Request => Request(55), North => south_2_north(25)(7), West => east_2_west(25)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
2445South => south_2_north(26)(7), East => east_2_west(26)(7) , Grant => Signal_grant(26)(7));
2446
2447Arbiter_26_8 : Arbiter
2448
2449PORT MAP (Request => Request(40), North => south_2_north(25)(8), West => east_2_west(25)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
2450South => south_2_north(26)(8), East => east_2_west(26)(8) , Grant => Signal_grant(26)(8));
2451
2452Arbiter_26_9 : Arbiter
2453
2454PORT MAP (Request => Request(25), North => south_2_north(25)(9), West => east_2_west(25)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
2455South => south_2_north(26)(9), East => east_2_west(26)(9) , Grant => Signal_grant(26)(9));
2456
2457Arbiter_26_10 : Arbiter
2458
2459PORT MAP (Request => Request(10), North => south_2_north(25)(10), West => east_2_west(25)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
2460South => south_2_north(26)(10), East => east_2_west(26)(10) , Grant => Signal_grant(26)(10));
2461
2462Arbiter_26_11 : Arbiter
2463
2464PORT MAP (Request => Request(251), North => south_2_north(25)(11), West => east_2_west(25)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
2465South => south_2_north(26)(11), East => east_2_west(26)(11) , Grant => Signal_grant(26)(11));
2466
2467Arbiter_26_12 : Arbiter
2468
2469PORT MAP (Request => Request(236), North => south_2_north(25)(12), West => east_2_west(25)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
2470South => south_2_north(26)(12), East => east_2_west(26)(12) , Grant => Signal_grant(26)(12));
2471
2472Arbiter_26_13 : Arbiter
2473
2474PORT MAP (Request => Request(221), North => south_2_north(25)(13), West => east_2_west(25)(12), P => Signal_priority(6), Fifo_full => Fifo_full(13), 
2475South => south_2_north(26)(13), East => east_2_west(26)(13) , Grant => Signal_grant(26)(13));
2476
2477Arbiter_26_14 : Arbiter
2478
2479PORT MAP (Request => Request(206), North => south_2_north(25)(14), West => east_2_west(25)(13), P => Signal_priority(6), Fifo_full => Fifo_full(14), 
2480South => south_2_north(26)(14), East => east_2_west(26)(14) , Grant => Signal_grant(26)(14));
2481
2482Arbiter_26_15 : Arbiter
2483
2484PORT MAP (Request => Request(191), North => south_2_north(25)(15), West => east_2_west(25)(14), P => Signal_priority(6), Fifo_full => Fifo_full(15), 
2485South => south_2_north(26)(15), East => east_2_west(26)(15) , Grant => Signal_grant(26)(15));
2486
2487Arbiter_26_16 : Arbiter
2488
2489PORT MAP (Request => Request(176), North => south_2_north(25)(16), West => east_2_west(25)(15), P => Signal_priority(6), Fifo_full => Fifo_full(16), 
2490South => south_2_north(26)(16), East => east_2_west(26)(16) , Grant => Signal_grant(26)(16));
2491
2492-------------------------- Diagonale n° 27
2493
2494
2495Arbiter_27_1 : Arbiter
2496
2497PORT MAP (Request => Request(161), North => south_2_north(26)(1), West => east_2_west(26)(16), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
2498South => south_2_north(27)(1), East => east_2_west(27)(1) , Grant => Signal_grant(27)(1));
2499
2500Arbiter_27_2 : Arbiter
2501
2502PORT MAP (Request => Request(146), North => south_2_north(26)(2), West => east_2_west(26)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
2503South => south_2_north(27)(2), East => east_2_west(27)(2) , Grant => Signal_grant(27)(2));
2504
2505Arbiter_27_3 : Arbiter
2506
2507PORT MAP (Request => Request(131), North => south_2_north(26)(3), West => east_2_west(26)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
2508South => south_2_north(27)(3), East => east_2_west(27)(3) , Grant => Signal_grant(27)(3));
2509
2510Arbiter_27_4 : Arbiter
2511
2512PORT MAP (Request => Request(116), North => south_2_north(26)(4), West => east_2_west(26)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
2513South => south_2_north(27)(4), East => east_2_west(27)(4) , Grant => Signal_grant(27)(4));
2514
2515Arbiter_27_5 : Arbiter
2516
2517PORT MAP (Request => Request(101), North => south_2_north(26)(5), West => east_2_west(26)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
2518South => south_2_north(27)(5), East => east_2_west(27)(5) , Grant => Signal_grant(27)(5));
2519
2520Arbiter_27_6 : Arbiter
2521
2522PORT MAP (Request => Request(86), North => south_2_north(26)(6), West => east_2_west(26)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
2523South => south_2_north(27)(6), East => east_2_west(27)(6) , Grant => Signal_grant(27)(6));
2524
2525Arbiter_27_7 : Arbiter
2526
2527PORT MAP (Request => Request(71), North => south_2_north(26)(7), West => east_2_west(26)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
2528South => south_2_north(27)(7), East => east_2_west(27)(7) , Grant => Signal_grant(27)(7));
2529
2530Arbiter_27_8 : Arbiter
2531
2532PORT MAP (Request => Request(56), North => south_2_north(26)(8), West => east_2_west(26)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
2533South => south_2_north(27)(8), East => east_2_west(27)(8) , Grant => Signal_grant(27)(8));
2534
2535Arbiter_27_9 : Arbiter
2536
2537PORT MAP (Request => Request(41), North => south_2_north(26)(9), West => east_2_west(26)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
2538South => south_2_north(27)(9), East => east_2_west(27)(9) , Grant => Signal_grant(27)(9));
2539
2540Arbiter_27_10 : Arbiter
2541
2542PORT MAP (Request => Request(26), North => south_2_north(26)(10), West => east_2_west(26)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
2543South => south_2_north(27)(10), East => east_2_west(27)(10) , Grant => Signal_grant(27)(10));
2544
2545Arbiter_27_11 : Arbiter
2546
2547PORT MAP (Request => Request(11), North => south_2_north(26)(11), West => east_2_west(26)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
2548South => south_2_north(27)(11), East => east_2_west(27)(11) , Grant => Signal_grant(27)(11));
2549
2550Arbiter_27_12 : Arbiter
2551
2552PORT MAP (Request => Request(252), North => south_2_north(26)(12), West => east_2_west(26)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
2553South => south_2_north(27)(12), East => east_2_west(27)(12) , Grant => Signal_grant(27)(12));
2554
2555Arbiter_27_13 : Arbiter
2556
2557PORT MAP (Request => Request(237), North => south_2_north(26)(13), West => east_2_west(26)(12), P => Signal_priority(5), Fifo_full => Fifo_full(13), 
2558South => south_2_north(27)(13), East => east_2_west(27)(13) , Grant => Signal_grant(27)(13));
2559
2560Arbiter_27_14 : Arbiter
2561
2562PORT MAP (Request => Request(222), North => south_2_north(26)(14), West => east_2_west(26)(13), P => Signal_priority(5), Fifo_full => Fifo_full(14), 
2563South => south_2_north(27)(14), East => east_2_west(27)(14) , Grant => Signal_grant(27)(14));
2564
2565Arbiter_27_15 : Arbiter
2566
2567PORT MAP (Request => Request(207), North => south_2_north(26)(15), West => east_2_west(26)(14), P => Signal_priority(5), Fifo_full => Fifo_full(15), 
2568South => south_2_north(27)(15), East => east_2_west(27)(15) , Grant => Signal_grant(27)(15));
2569
2570Arbiter_27_16 : Arbiter
2571
2572PORT MAP (Request => Request(192), North => south_2_north(26)(16), West => east_2_west(26)(15), P => Signal_priority(5), Fifo_full => Fifo_full(16), 
2573South => south_2_north(27)(16), East => east_2_west(27)(16) , Grant => Signal_grant(27)(16));
2574
2575-------------------------- Diagonale n° 28
2576
2577
2578Arbiter_28_1 : Arbiter
2579
2580PORT MAP (Request => Request(177), North => south_2_north(27)(1), West => east_2_west(27)(16), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
2581South => south_2_north(28)(1), East => east_2_west(28)(1) , Grant => Signal_grant(28)(1));
2582
2583Arbiter_28_2 : Arbiter
2584
2585PORT MAP (Request => Request(162), North => south_2_north(27)(2), West => east_2_west(27)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
2586South => south_2_north(28)(2), East => east_2_west(28)(2) , Grant => Signal_grant(28)(2));
2587
2588Arbiter_28_3 : Arbiter
2589
2590PORT MAP (Request => Request(147), North => south_2_north(27)(3), West => east_2_west(27)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
2591South => south_2_north(28)(3), East => east_2_west(28)(3) , Grant => Signal_grant(28)(3));
2592
2593Arbiter_28_4 : Arbiter
2594
2595PORT MAP (Request => Request(132), North => south_2_north(27)(4), West => east_2_west(27)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
2596South => south_2_north(28)(4), East => east_2_west(28)(4) , Grant => Signal_grant(28)(4));
2597
2598Arbiter_28_5 : Arbiter
2599
2600PORT MAP (Request => Request(117), North => south_2_north(27)(5), West => east_2_west(27)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
2601South => south_2_north(28)(5), East => east_2_west(28)(5) , Grant => Signal_grant(28)(5));
2602
2603Arbiter_28_6 : Arbiter
2604
2605PORT MAP (Request => Request(102), North => south_2_north(27)(6), West => east_2_west(27)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
2606South => south_2_north(28)(6), East => east_2_west(28)(6) , Grant => Signal_grant(28)(6));
2607
2608Arbiter_28_7 : Arbiter
2609
2610PORT MAP (Request => Request(87), North => south_2_north(27)(7), West => east_2_west(27)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
2611South => south_2_north(28)(7), East => east_2_west(28)(7) , Grant => Signal_grant(28)(7));
2612
2613Arbiter_28_8 : Arbiter
2614
2615PORT MAP (Request => Request(72), North => south_2_north(27)(8), West => east_2_west(27)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
2616South => south_2_north(28)(8), East => east_2_west(28)(8) , Grant => Signal_grant(28)(8));
2617
2618Arbiter_28_9 : Arbiter
2619
2620PORT MAP (Request => Request(57), North => south_2_north(27)(9), West => east_2_west(27)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
2621South => south_2_north(28)(9), East => east_2_west(28)(9) , Grant => Signal_grant(28)(9));
2622
2623Arbiter_28_10 : Arbiter
2624
2625PORT MAP (Request => Request(42), North => south_2_north(27)(10), West => east_2_west(27)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
2626South => south_2_north(28)(10), East => east_2_west(28)(10) , Grant => Signal_grant(28)(10));
2627
2628Arbiter_28_11 : Arbiter
2629
2630PORT MAP (Request => Request(27), North => south_2_north(27)(11), West => east_2_west(27)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
2631South => south_2_north(28)(11), East => east_2_west(28)(11) , Grant => Signal_grant(28)(11));
2632
2633Arbiter_28_12 : Arbiter
2634
2635PORT MAP (Request => Request(12), North => south_2_north(27)(12), West => east_2_west(27)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
2636South => south_2_north(28)(12), East => east_2_west(28)(12) , Grant => Signal_grant(28)(12));
2637
2638Arbiter_28_13 : Arbiter
2639
2640PORT MAP (Request => Request(253), North => south_2_north(27)(13), West => east_2_west(27)(12), P => Signal_priority(4), Fifo_full => Fifo_full(13), 
2641South => south_2_north(28)(13), East => east_2_west(28)(13) , Grant => Signal_grant(28)(13));
2642
2643Arbiter_28_14 : Arbiter
2644
2645PORT MAP (Request => Request(238), North => south_2_north(27)(14), West => east_2_west(27)(13), P => Signal_priority(4), Fifo_full => Fifo_full(14), 
2646South => south_2_north(28)(14), East => east_2_west(28)(14) , Grant => Signal_grant(28)(14));
2647
2648Arbiter_28_15 : Arbiter
2649
2650PORT MAP (Request => Request(223), North => south_2_north(27)(15), West => east_2_west(27)(14), P => Signal_priority(4), Fifo_full => Fifo_full(15), 
2651South => south_2_north(28)(15), East => east_2_west(28)(15) , Grant => Signal_grant(28)(15));
2652
2653Arbiter_28_16 : Arbiter
2654
2655PORT MAP (Request => Request(208), North => south_2_north(27)(16), West => east_2_west(27)(15), P => Signal_priority(4), Fifo_full => Fifo_full(16), 
2656South => south_2_north(28)(16), East => east_2_west(28)(16) , Grant => Signal_grant(28)(16));
2657
2658-------------------------- Diagonale n° 29
2659
2660
2661Arbiter_29_1 : Arbiter
2662
2663PORT MAP (Request => Request(193), North => south_2_north(28)(1), West => east_2_west(28)(16), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
2664South => south_2_north(29)(1), East => east_2_west(29)(1) , Grant => Signal_grant(29)(1));
2665
2666Arbiter_29_2 : Arbiter
2667
2668PORT MAP (Request => Request(178), North => south_2_north(28)(2), West => east_2_west(28)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
2669South => south_2_north(29)(2), East => east_2_west(29)(2) , Grant => Signal_grant(29)(2));
2670
2671Arbiter_29_3 : Arbiter
2672
2673PORT MAP (Request => Request(163), North => south_2_north(28)(3), West => east_2_west(28)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
2674South => south_2_north(29)(3), East => east_2_west(29)(3) , Grant => Signal_grant(29)(3));
2675
2676Arbiter_29_4 : Arbiter
2677
2678PORT MAP (Request => Request(148), North => south_2_north(28)(4), West => east_2_west(28)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
2679South => south_2_north(29)(4), East => east_2_west(29)(4) , Grant => Signal_grant(29)(4));
2680
2681Arbiter_29_5 : Arbiter
2682
2683PORT MAP (Request => Request(133), North => south_2_north(28)(5), West => east_2_west(28)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
2684South => south_2_north(29)(5), East => east_2_west(29)(5) , Grant => Signal_grant(29)(5));
2685
2686Arbiter_29_6 : Arbiter
2687
2688PORT MAP (Request => Request(118), North => south_2_north(28)(6), West => east_2_west(28)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
2689South => south_2_north(29)(6), East => east_2_west(29)(6) , Grant => Signal_grant(29)(6));
2690
2691Arbiter_29_7 : Arbiter
2692
2693PORT MAP (Request => Request(103), North => south_2_north(28)(7), West => east_2_west(28)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
2694South => south_2_north(29)(7), East => east_2_west(29)(7) , Grant => Signal_grant(29)(7));
2695
2696Arbiter_29_8 : Arbiter
2697
2698PORT MAP (Request => Request(88), North => south_2_north(28)(8), West => east_2_west(28)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
2699South => south_2_north(29)(8), East => east_2_west(29)(8) , Grant => Signal_grant(29)(8));
2700
2701Arbiter_29_9 : Arbiter
2702
2703PORT MAP (Request => Request(73), North => south_2_north(28)(9), West => east_2_west(28)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
2704South => south_2_north(29)(9), East => east_2_west(29)(9) , Grant => Signal_grant(29)(9));
2705
2706Arbiter_29_10 : Arbiter
2707
2708PORT MAP (Request => Request(58), North => south_2_north(28)(10), West => east_2_west(28)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
2709South => south_2_north(29)(10), East => east_2_west(29)(10) , Grant => Signal_grant(29)(10));
2710
2711Arbiter_29_11 : Arbiter
2712
2713PORT MAP (Request => Request(43), North => south_2_north(28)(11), West => east_2_west(28)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
2714South => south_2_north(29)(11), East => east_2_west(29)(11) , Grant => Signal_grant(29)(11));
2715
2716Arbiter_29_12 : Arbiter
2717
2718PORT MAP (Request => Request(28), North => south_2_north(28)(12), West => east_2_west(28)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
2719South => south_2_north(29)(12), East => east_2_west(29)(12) , Grant => Signal_grant(29)(12));
2720
2721Arbiter_29_13 : Arbiter
2722
2723PORT MAP (Request => Request(13), North => south_2_north(28)(13), West => east_2_west(28)(12), P => Signal_priority(3), Fifo_full => Fifo_full(13), 
2724South => south_2_north(29)(13), East => east_2_west(29)(13) , Grant => Signal_grant(29)(13));
2725
2726Arbiter_29_14 : Arbiter
2727
2728PORT MAP (Request => Request(254), North => south_2_north(28)(14), West => east_2_west(28)(13), P => Signal_priority(3), Fifo_full => Fifo_full(14), 
2729South => south_2_north(29)(14), East => east_2_west(29)(14) , Grant => Signal_grant(29)(14));
2730
2731Arbiter_29_15 : Arbiter
2732
2733PORT MAP (Request => Request(239), North => south_2_north(28)(15), West => east_2_west(28)(14), P => Signal_priority(3), Fifo_full => Fifo_full(15), 
2734South => south_2_north(29)(15), East => east_2_west(29)(15) , Grant => Signal_grant(29)(15));
2735
2736Arbiter_29_16 : Arbiter
2737
2738PORT MAP (Request => Request(224), North => south_2_north(28)(16), West => east_2_west(28)(15), P => Signal_priority(3), Fifo_full => Fifo_full(16), 
2739South => south_2_north(29)(16), East => east_2_west(29)(16) , Grant => Signal_grant(29)(16));
2740
2741-------------------------- Diagonale n° 30
2742
2743
2744Arbiter_30_1 : Arbiter
2745
2746PORT MAP (Request => Request(209), North => south_2_north(29)(1), West => east_2_west(29)(16), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
2747South => south_2_north(30)(1), East => east_2_west(30)(1) , Grant => Signal_grant(30)(1));
2748
2749Arbiter_30_2 : Arbiter
2750
2751PORT MAP (Request => Request(194), North => south_2_north(29)(2), West => east_2_west(29)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
2752South => south_2_north(30)(2), East => east_2_west(30)(2) , Grant => Signal_grant(30)(2));
2753
2754Arbiter_30_3 : Arbiter
2755
2756PORT MAP (Request => Request(179), North => south_2_north(29)(3), West => east_2_west(29)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
2757South => south_2_north(30)(3), East => east_2_west(30)(3) , Grant => Signal_grant(30)(3));
2758
2759Arbiter_30_4 : Arbiter
2760
2761PORT MAP (Request => Request(164), North => south_2_north(29)(4), West => east_2_west(29)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
2762South => south_2_north(30)(4), East => east_2_west(30)(4) , Grant => Signal_grant(30)(4));
2763
2764Arbiter_30_5 : Arbiter
2765
2766PORT MAP (Request => Request(149), North => south_2_north(29)(5), West => east_2_west(29)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
2767South => south_2_north(30)(5), East => east_2_west(30)(5) , Grant => Signal_grant(30)(5));
2768
2769Arbiter_30_6 : Arbiter
2770
2771PORT MAP (Request => Request(134), North => south_2_north(29)(6), West => east_2_west(29)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
2772South => south_2_north(30)(6), East => east_2_west(30)(6) , Grant => Signal_grant(30)(6));
2773
2774Arbiter_30_7 : Arbiter
2775
2776PORT MAP (Request => Request(119), North => south_2_north(29)(7), West => east_2_west(29)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
2777South => south_2_north(30)(7), East => east_2_west(30)(7) , Grant => Signal_grant(30)(7));
2778
2779Arbiter_30_8 : Arbiter
2780
2781PORT MAP (Request => Request(104), North => south_2_north(29)(8), West => east_2_west(29)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
2782South => south_2_north(30)(8), East => east_2_west(30)(8) , Grant => Signal_grant(30)(8));
2783
2784Arbiter_30_9 : Arbiter
2785
2786PORT MAP (Request => Request(89), North => south_2_north(29)(9), West => east_2_west(29)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
2787South => south_2_north(30)(9), East => east_2_west(30)(9) , Grant => Signal_grant(30)(9));
2788
2789Arbiter_30_10 : Arbiter
2790
2791PORT MAP (Request => Request(74), North => south_2_north(29)(10), West => east_2_west(29)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
2792South => south_2_north(30)(10), East => east_2_west(30)(10) , Grant => Signal_grant(30)(10));
2793
2794Arbiter_30_11 : Arbiter
2795
2796PORT MAP (Request => Request(59), North => south_2_north(29)(11), West => east_2_west(29)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
2797South => south_2_north(30)(11), East => east_2_west(30)(11) , Grant => Signal_grant(30)(11));
2798
2799Arbiter_30_12 : Arbiter
2800
2801PORT MAP (Request => Request(44), North => south_2_north(29)(12), West => east_2_west(29)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
2802South => south_2_north(30)(12), East => east_2_west(30)(12) , Grant => Signal_grant(30)(12));
2803
2804Arbiter_30_13 : Arbiter
2805
2806PORT MAP (Request => Request(29), North => south_2_north(29)(13), West => east_2_west(29)(12), P => Signal_priority(2), Fifo_full => Fifo_full(13), 
2807South => south_2_north(30)(13), East => east_2_west(30)(13) , Grant => Signal_grant(30)(13));
2808
2809Arbiter_30_14 : Arbiter
2810
2811PORT MAP (Request => Request(14), North => south_2_north(29)(14), West => east_2_west(29)(13), P => Signal_priority(2), Fifo_full => Fifo_full(14), 
2812South => south_2_north(30)(14), East => east_2_west(30)(14) , Grant => Signal_grant(30)(14));
2813
2814Arbiter_30_15 : Arbiter
2815
2816PORT MAP (Request => Request(255), North => south_2_north(29)(15), West => east_2_west(29)(14), P => Signal_priority(2), Fifo_full => Fifo_full(15), 
2817South => south_2_north(30)(15), East => east_2_west(30)(15) , Grant => Signal_grant(30)(15));
2818
2819Arbiter_30_16 : Arbiter
2820
2821PORT MAP (Request => Request(240), North => south_2_north(29)(16), West => east_2_west(29)(15), P => Signal_priority(2), Fifo_full => Fifo_full(16), 
2822South => south_2_north(30)(16), East => east_2_west(30)(16) , Grant => Signal_grant(30)(16));
2823
2824-------------------------- Diagonale n° 31
2825
2826
2827Arbiter_31_1 : Arbiter
2828
2829PORT MAP (Request => Request(225), North => south_2_north(30)(1), West => east_2_west(30)(16), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
2830South => south_2_north(31)(1), East => east_2_west(31)(1) , Grant => Signal_grant(31)(1));
2831
2832Arbiter_31_2 : Arbiter
2833
2834PORT MAP (Request => Request(210), North => south_2_north(30)(2), West => east_2_west(30)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
2835South => south_2_north(31)(2), East => east_2_west(31)(2) , Grant => Signal_grant(31)(2));
2836
2837Arbiter_31_3 : Arbiter
2838
2839PORT MAP (Request => Request(195), North => south_2_north(30)(3), West => east_2_west(30)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
2840South => south_2_north(31)(3), East => east_2_west(31)(3) , Grant => Signal_grant(31)(3));
2841
2842Arbiter_31_4 : Arbiter
2843
2844PORT MAP (Request => Request(180), North => south_2_north(30)(4), West => east_2_west(30)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
2845South => south_2_north(31)(4), East => east_2_west(31)(4) , Grant => Signal_grant(31)(4));
2846
2847Arbiter_31_5 : Arbiter
2848
2849PORT MAP (Request => Request(165), North => south_2_north(30)(5), West => east_2_west(30)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
2850South => south_2_north(31)(5), East => east_2_west(31)(5) , Grant => Signal_grant(31)(5));
2851
2852Arbiter_31_6 : Arbiter
2853
2854PORT MAP (Request => Request(150), North => south_2_north(30)(6), West => east_2_west(30)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
2855South => south_2_north(31)(6), East => east_2_west(31)(6) , Grant => Signal_grant(31)(6));
2856
2857Arbiter_31_7 : Arbiter
2858
2859PORT MAP (Request => Request(135), North => south_2_north(30)(7), West => east_2_west(30)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
2860South => south_2_north(31)(7), East => east_2_west(31)(7) , Grant => Signal_grant(31)(7));
2861
2862Arbiter_31_8 : Arbiter
2863
2864PORT MAP (Request => Request(120), North => south_2_north(30)(8), West => east_2_west(30)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
2865South => south_2_north(31)(8), East => east_2_west(31)(8) , Grant => Signal_grant(31)(8));
2866
2867Arbiter_31_9 : Arbiter
2868
2869PORT MAP (Request => Request(105), North => south_2_north(30)(9), West => east_2_west(30)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
2870South => south_2_north(31)(9), East => east_2_west(31)(9) , Grant => Signal_grant(31)(9));
2871
2872Arbiter_31_10 : Arbiter
2873
2874PORT MAP (Request => Request(90), North => south_2_north(30)(10), West => east_2_west(30)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
2875South => south_2_north(31)(10), East => east_2_west(31)(10) , Grant => Signal_grant(31)(10));
2876
2877Arbiter_31_11 : Arbiter
2878
2879PORT MAP (Request => Request(75), North => south_2_north(30)(11), West => east_2_west(30)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
2880South => south_2_north(31)(11), East => east_2_west(31)(11) , Grant => Signal_grant(31)(11));
2881
2882Arbiter_31_12 : Arbiter
2883
2884PORT MAP (Request => Request(60), North => south_2_north(30)(12), West => east_2_west(30)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
2885South => south_2_north(31)(12), East => east_2_west(31)(12) , Grant => Signal_grant(31)(12));
2886
2887Arbiter_31_13 : Arbiter
2888
2889PORT MAP (Request => Request(45), North => south_2_north(30)(13), West => east_2_west(30)(12), P => Signal_priority(1), Fifo_full => Fifo_full(13), 
2890South => south_2_north(31)(13), East => east_2_west(31)(13) , Grant => Signal_grant(31)(13));
2891
2892Arbiter_31_14 : Arbiter
2893
2894PORT MAP (Request => Request(30), North => south_2_north(30)(14), West => east_2_west(30)(13), P => Signal_priority(1), Fifo_full => Fifo_full(14), 
2895South => south_2_north(31)(14), East => east_2_west(31)(14) , Grant => Signal_grant(31)(14));
2896
2897Arbiter_31_15 : Arbiter
2898
2899PORT MAP (Request => Request(15), North => south_2_north(30)(15), West => east_2_west(30)(14), P => Signal_priority(1), Fifo_full => Fifo_full(15), 
2900South => south_2_north(31)(15), East => east_2_west(31)(15) , Grant => Signal_grant(31)(15));
2901
2902Arbiter_31_16 : Arbiter
2903
2904PORT MAP (Request => Request(256), North => south_2_north(30)(16), West => east_2_west(30)(15), P => Signal_priority(1), Fifo_full => Fifo_full(16), 
2905South => south_2_north(31)(16), East => east_2_west(31)(16) , Grant => Signal_grant(31)(16));
2906
2907
2908--processus permettant de roter la priorité des diagonales à chaque front d'horloge
2909 -- rotation round robin
2910         round_robin : process(clk)
2911        begin
2912                if rising_edge(clk) then
2913                 if reset ='1' then
2914                    Signal_priority <= "1111111111111111000000000000000";
2915                  elsif priority_rotation_en = '1' then
2916                    case Signal_priority is
2917                       when "1111111111111111000000000000000" => Signal_priority <= "0111111111111111100000000000000";
2918                       when "0111111111111111100000000000000" => Signal_priority <= "0011111111111111110000000000000";
2919                       when "0011111111111111110000000000000" => Signal_priority <= "0001111111111111111000000000000";
2920                       when "0001111111111111111000000000000" => Signal_priority <= "0000111111111111111100000000000";
2921                       when "0000111111111111111100000000000" => Signal_priority <= "0000011111111111111110000000000";
2922                       when "0000011111111111111110000000000" => Signal_priority <= "0000001111111111111111000000000";
2923                       when "0000001111111111111111000000000" => Signal_priority <= "0000000111111111111111100000000";
2924                       when "0000000111111111111111100000000" => Signal_priority <= "0000000011111111111111110000000";
2925                       when "0000000011111111111111110000000" => Signal_priority <= "0000000001111111111111111000000";
2926                       when "0000000001111111111111111000000" => Signal_priority <= "0000000000111111111111111100000";
2927                       when "0000000000111111111111111100000" => Signal_priority <= "0000000000011111111111111110000";
2928                       when "0000000000011111111111111110000" => Signal_priority <= "0000000000001111111111111111000";
2929                       when "0000000000001111111111111111000" => Signal_priority <= "0000000000000111111111111111100";
2930                       when "0000000000000111111111111111100" => Signal_priority <= "0000000000000011111111111111110";
2931                       when "0000000000000011111111111111110" => Signal_priority <= "0000000000000001111111111111111";
2932                       when "0000000000000001111111111111111" => Signal_priority <= "1111111111111111000000000000000";
2933                       when others    => Signal_priority <= "1111111111111111000000000000000";
2934                  end case;
2935                 end if;
2936             end if;
2937         end process;
2938
2939end Behavioral;
2940
Note: See TracBrowser for help on using the repository browser.