source: PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/OLD_VERSION/SCHEDULER6_6.VHD @ 24

Last change on this file since 24 was 24, checked in by rolagamo, 12 years ago
File size: 23.7 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler6_6 is
32    Port ( Request : in  STD_LOGIC_VECTOR (36 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (6 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (6 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (36 downto 1));
38end Scheduler6_6;
39
40architecture Behavioral of Scheduler6_6 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(11 downto 1) of STD_LOGIC_VECTOR(6 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (11 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(36 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant,req_grant :  std_logic_vector(36 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61 req_grant<=(request and grant_latch);
62 priority_rotation_en <= '1' when   unsigned(req_grant) = 0 or unsigned(priority_rotation) = 63 else    '0';
63--latch servant qui memorise le signal grant pendant a transmission
64grant_latch_process : process(clk)
65 begin
66  if rising_edge(clk) then
67   if reset = '1' then
68                grant_latch <= (others => '0');
69         elsif priority_rotation_en = '1' then
70           grant_latch <= Grant;
71   end if;
72   end if;
73 end process;
74 port_grant <= grant_latch;
75 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(7)(1); --  Grant(1,1)
76Grant(2)  <= Signal_grant(2)(2) or Signal_grant(8)(2); --  Grant(1,2)
77Grant(3)  <= Signal_grant(3)(3) or Signal_grant(9)(3); --  Grant(1,3)
78Grant(4)  <= Signal_grant(4)(4) or Signal_grant(10)(4); --  Grant(1,4)
79Grant(5)  <= Signal_grant(5)(5) or Signal_grant(11)(5); --  Grant(1,5)
80Grant(6)  <= Signal_grant(6)(6) ;                      --  Grant(1,6)
81Grant(7)  <= Signal_grant(2)(1) or Signal_grant(8)(1); --  Grant(2,1)
82Grant(8)  <= Signal_grant(3)(2) or Signal_grant(9)(2); --  Grant(2,2)
83Grant(9)  <= Signal_grant(4)(3) or Signal_grant(10)(3); --  Grant(2,3)
84Grant(10)  <= Signal_grant(5)(4) or Signal_grant(11)(4); --  Grant(2,4)
85Grant(11)  <= Signal_grant(6)(5) ;                      --  Grant(2,5)
86Grant(12)  <= Signal_grant(1)(6) or Signal_grant(7)(6); --  Grant(2,6)
87Grant(13)  <= Signal_grant(3)(1) or Signal_grant(9)(1); --  Grant(3,1)
88Grant(14)  <= Signal_grant(4)(2) or Signal_grant(10)(2); --  Grant(3,2)
89Grant(15)  <= Signal_grant(5)(3) or Signal_grant(11)(3); --  Grant(3,3)
90Grant(16)  <= Signal_grant(6)(4) ;                      --  Grant(3,4)
91Grant(17)  <= Signal_grant(1)(5) or Signal_grant(7)(5); --  Grant(3,5)
92Grant(18)  <= Signal_grant(2)(6) or Signal_grant(8)(6); --  Grant(3,6)
93Grant(19)  <= Signal_grant(4)(1) or Signal_grant(10)(1); --  Grant(4,1)
94Grant(20)  <= Signal_grant(5)(2) or Signal_grant(11)(2); --  Grant(4,2)
95Grant(21)  <= Signal_grant(6)(3) ;                      --  Grant(4,3)
96Grant(22)  <= Signal_grant(1)(4) or Signal_grant(7)(4); --  Grant(4,4)
97Grant(23)  <= Signal_grant(2)(5) or Signal_grant(8)(5); --  Grant(4,5)
98Grant(24)  <= Signal_grant(3)(6) or Signal_grant(9)(6); --  Grant(4,6)
99Grant(25)  <= Signal_grant(5)(1) or Signal_grant(11)(1); --  Grant(5,1)
100Grant(26)  <= Signal_grant(6)(2) ;                      --  Grant(5,2)
101Grant(27)  <= Signal_grant(1)(3) or Signal_grant(7)(3); --  Grant(5,3)
102Grant(28)  <= Signal_grant(2)(4) or Signal_grant(8)(4); --  Grant(5,4)
103Grant(29)  <= Signal_grant(3)(5) or Signal_grant(9)(5); --  Grant(5,5)
104Grant(30)  <= Signal_grant(4)(6) or Signal_grant(10)(6); --  Grant(5,6)
105Grant(31)  <= Signal_grant(6)(1) ;                      --  Grant(6,1)
106Grant(32)  <= Signal_grant(1)(2) or Signal_grant(7)(2); --  Grant(6,2)
107Grant(33)  <= Signal_grant(2)(3) or Signal_grant(8)(3); --  Grant(6,3)
108Grant(34)  <= Signal_grant(3)(4) or Signal_grant(9)(4); --  Grant(6,4)
109Grant(35)  <= Signal_grant(4)(5) or Signal_grant(10)(5); --  Grant(6,5)
110Grant(36)  <= Signal_grant(5)(6) or Signal_grant(11)(6); --  Grant(6,6)
111High <= '1';
112
113----instantiations des cellules arbitres et interconnection
114
115-------------------------- Diagonale n° 1
116
117
118Arbiter_1_1 : Arbiter
119
120PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(11), Fifo_full => Fifo_full(1), 
121South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
122
123Arbiter_1_2 : Arbiter
124
125PORT MAP (Request => Request(32), North => High, West => High, P => Signal_priority(11), Fifo_full => Fifo_full(2), 
126South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
127
128Arbiter_1_3 : Arbiter
129
130PORT MAP (Request => Request(27), North => High, West => High, P => Signal_priority(11), Fifo_full => Fifo_full(3), 
131South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
132
133Arbiter_1_4 : Arbiter
134
135PORT MAP (Request => Request(22), North => High, West => High, P => Signal_priority(11), Fifo_full => Fifo_full(4), 
136South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
137
138Arbiter_1_5 : Arbiter
139
140PORT MAP (Request => Request(17), North => High, West => High, P => Signal_priority(11), Fifo_full => Fifo_full(5), 
141South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
142
143Arbiter_1_6 : Arbiter
144
145PORT MAP (Request => Request(12), North => High, West => High, P => Signal_priority(11), Fifo_full => Fifo_full(6), 
146South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
147
148-------------------------- Diagonale n° 2
149
150
151Arbiter_2_1 : Arbiter
152
153PORT MAP (Request => Request(7), North => south_2_north(1)(1), West => east_2_west(1)(6), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
154South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
155
156Arbiter_2_2 : Arbiter
157
158PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
159South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
160
161Arbiter_2_3 : Arbiter
162
163PORT MAP (Request => Request(33), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
164South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
165
166Arbiter_2_4 : Arbiter
167
168PORT MAP (Request => Request(28), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
169South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
170
171Arbiter_2_5 : Arbiter
172
173PORT MAP (Request => Request(23), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
174South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
175
176Arbiter_2_6 : Arbiter
177
178PORT MAP (Request => Request(18), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
179South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
180
181-------------------------- Diagonale n° 3
182
183
184Arbiter_3_1 : Arbiter
185
186PORT MAP (Request => Request(13), North => south_2_north(2)(1), West => east_2_west(2)(6), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
187South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
188
189Arbiter_3_2 : Arbiter
190
191PORT MAP (Request => Request(8), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
192South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
193
194Arbiter_3_3 : Arbiter
195
196PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
197South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
198
199Arbiter_3_4 : Arbiter
200
201PORT MAP (Request => Request(34), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
202South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
203
204Arbiter_3_5 : Arbiter
205
206PORT MAP (Request => Request(29), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
207South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
208
209Arbiter_3_6 : Arbiter
210
211PORT MAP (Request => Request(24), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
212South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
213
214-------------------------- Diagonale n° 4
215
216
217Arbiter_4_1 : Arbiter
218
219PORT MAP (Request => Request(19), North => south_2_north(3)(1), West => east_2_west(3)(6), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
220South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
221
222Arbiter_4_2 : Arbiter
223
224PORT MAP (Request => Request(14), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
225South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
226
227Arbiter_4_3 : Arbiter
228
229PORT MAP (Request => Request(9), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
230South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
231
232Arbiter_4_4 : Arbiter
233
234PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
235South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
236
237Arbiter_4_5 : Arbiter
238
239PORT MAP (Request => Request(35), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
240South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
241
242Arbiter_4_6 : Arbiter
243
244PORT MAP (Request => Request(30), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
245South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
246
247-------------------------- Diagonale n° 5
248
249
250Arbiter_5_1 : Arbiter
251
252PORT MAP (Request => Request(25), North => south_2_north(4)(1), West => east_2_west(4)(6), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
253South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
254
255Arbiter_5_2 : Arbiter
256
257PORT MAP (Request => Request(20), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
258South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
259
260Arbiter_5_3 : Arbiter
261
262PORT MAP (Request => Request(15), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
263South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
264
265Arbiter_5_4 : Arbiter
266
267PORT MAP (Request => Request(10), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
268South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
269
270Arbiter_5_5 : Arbiter
271
272PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
273South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
274
275Arbiter_5_6 : Arbiter
276
277PORT MAP (Request => Request(36), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
278South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
279
280-------------------------- Diagonale n° 6
281
282
283Arbiter_6_1 : Arbiter
284
285PORT MAP (Request => Request(31), North => south_2_north(5)(1), West => east_2_west(5)(6), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
286South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
287
288Arbiter_6_2 : Arbiter
289
290PORT MAP (Request => Request(26), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
291South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
292
293Arbiter_6_3 : Arbiter
294
295PORT MAP (Request => Request(21), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
296South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
297
298Arbiter_6_4 : Arbiter
299
300PORT MAP (Request => Request(16), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
301South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
302
303Arbiter_6_5 : Arbiter
304
305PORT MAP (Request => Request(11), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
306South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
307
308Arbiter_6_6 : Arbiter
309
310PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
311South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
312
313-------------------------- Diagonale n° 7
314
315
316Arbiter_7_1 : Arbiter
317
318PORT MAP (Request => Request(1), North => south_2_north(6)(1), West => east_2_west(6)(6), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
319South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
320
321Arbiter_7_2 : Arbiter
322
323PORT MAP (Request => Request(32), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
324South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
325
326Arbiter_7_3 : Arbiter
327
328PORT MAP (Request => Request(27), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
329South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
330
331Arbiter_7_4 : Arbiter
332
333PORT MAP (Request => Request(22), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
334South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
335
336Arbiter_7_5 : Arbiter
337
338PORT MAP (Request => Request(17), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
339South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
340
341Arbiter_7_6 : Arbiter
342
343PORT MAP (Request => Request(12), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
344South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
345
346-------------------------- Diagonale n° 8
347
348
349Arbiter_8_1 : Arbiter
350
351PORT MAP (Request => Request(7), North => south_2_north(7)(1), West => east_2_west(7)(6), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
352South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
353
354Arbiter_8_2 : Arbiter
355
356PORT MAP (Request => Request(2), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
357South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
358
359Arbiter_8_3 : Arbiter
360
361PORT MAP (Request => Request(33), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
362South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
363
364Arbiter_8_4 : Arbiter
365
366PORT MAP (Request => Request(28), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
367South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
368
369Arbiter_8_5 : Arbiter
370
371PORT MAP (Request => Request(23), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
372South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
373
374Arbiter_8_6 : Arbiter
375
376PORT MAP (Request => Request(18), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
377South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
378
379-------------------------- Diagonale n° 9
380
381
382Arbiter_9_1 : Arbiter
383
384PORT MAP (Request => Request(13), North => south_2_north(8)(1), West => east_2_west(8)(6), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
385South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
386
387Arbiter_9_2 : Arbiter
388
389PORT MAP (Request => Request(8), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
390South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
391
392Arbiter_9_3 : Arbiter
393
394PORT MAP (Request => Request(3), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
395South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
396
397Arbiter_9_4 : Arbiter
398
399PORT MAP (Request => Request(34), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
400South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
401
402Arbiter_9_5 : Arbiter
403
404PORT MAP (Request => Request(29), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
405South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
406
407Arbiter_9_6 : Arbiter
408
409PORT MAP (Request => Request(24), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
410South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
411
412-------------------------- Diagonale n° 10
413
414
415Arbiter_10_1 : Arbiter
416
417PORT MAP (Request => Request(19), North => south_2_north(9)(1), West => east_2_west(9)(6), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
418South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
419
420Arbiter_10_2 : Arbiter
421
422PORT MAP (Request => Request(14), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
423South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
424
425Arbiter_10_3 : Arbiter
426
427PORT MAP (Request => Request(9), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
428South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
429
430Arbiter_10_4 : Arbiter
431
432PORT MAP (Request => Request(4), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
433South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
434
435Arbiter_10_5 : Arbiter
436
437PORT MAP (Request => Request(35), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
438South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
439
440Arbiter_10_6 : Arbiter
441
442PORT MAP (Request => Request(30), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
443South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
444
445-------------------------- Diagonale n° 11
446
447
448Arbiter_11_1 : Arbiter
449
450PORT MAP (Request => Request(25), North => south_2_north(10)(1), West => east_2_west(10)(6), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
451South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
452
453Arbiter_11_2 : Arbiter
454
455PORT MAP (Request => Request(20), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
456South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
457
458Arbiter_11_3 : Arbiter
459
460PORT MAP (Request => Request(15), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
461South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
462
463Arbiter_11_4 : Arbiter
464
465PORT MAP (Request => Request(10), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
466South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
467
468Arbiter_11_5 : Arbiter
469
470PORT MAP (Request => Request(5), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
471South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
472
473Arbiter_11_6 : Arbiter
474
475PORT MAP (Request => Request(36), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
476South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
477
478
479--processus permettant de roter la priorité des diagonales à chaque front d'horloge
480 -- rotation round robin
481         round_robin : process(clk)
482        begin
483                if rising_edge(clk) then
484                 if reset ='1' then
485                    Signal_priority <= "11111100000";
486                  elsif priority_rotation_en = '1' then
487                    case Signal_priority is
488                       when "11111100000" => Signal_priority <= "01111110000";
489                       when "01111110000" => Signal_priority <= "00111111000";
490                       when "00111111000" => Signal_priority <= "00011111100";
491                       when "00011111100" => Signal_priority <= "00001111110";
492                       when "00001111110" => Signal_priority <= "00000111111";
493                       when "00000111111" => Signal_priority <= "11111100000";
494                       when others    => Signal_priority <= "11111100000";
495                  end case;
496                 end if;
497             end if;
498         end process;
499
500end Behavioral;
501
Note: See TracBrowser for help on using the repository browser.