source: PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/OLD_VERSION/SCHEDULER8_8.VHD @ 24

Last change on this file since 24 was 24, checked in by rolagamo, 12 years ago
File size: 40.2 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler8_8 is
32    Port ( Request : in  STD_LOGIC_VECTOR (64 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (8 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (8 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (64 downto 1));
38end Scheduler8_8;
39
40architecture Behavioral of Scheduler8_8 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(15 downto 1) of STD_LOGIC_VECTOR(8 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (15 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(64 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant,req_grant :  std_logic_vector(64 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61 req_grant<=(request and grant_latch);
62 priority_rotation_en <= '1' when  unsigned(req_grant) = 0 or unsigned(priority_rotation) = 255 else    '0';
63--latch servant qui memorise le signal grant pendant a transmission
64grant_latch_process : process(clk)
65 begin
66  if rising_edge(clk) then
67   if reset = '1' then
68                grant_latch <= (others => '0');
69         elsif priority_rotation_en = '1' or unsigned(Grant_latch)=0 then
70           grant_latch <= Grant;
71   end if;
72   end if;
73 end process;
74 port_grant <=  grant_latch ;
75 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(9)(1); --  Grant(1,1)
76Grant(2)  <= Signal_grant(2)(2) or Signal_grant(10)(2); --  Grant(1,2)
77Grant(3)  <= Signal_grant(3)(3) or Signal_grant(11)(3); --  Grant(1,3)
78Grant(4)  <= Signal_grant(4)(4) or Signal_grant(12)(4); --  Grant(1,4)
79Grant(5)  <= Signal_grant(5)(5) or Signal_grant(13)(5); --  Grant(1,5)
80Grant(6)  <= Signal_grant(6)(6) or Signal_grant(14)(6); --  Grant(1,6)
81Grant(7)  <= Signal_grant(7)(7) or Signal_grant(15)(7); --  Grant(1,7)
82Grant(8)  <= Signal_grant(8)(8) ;                      --  Grant(1,8)
83Grant(9)  <= Signal_grant(2)(1) or Signal_grant(10)(1); --  Grant(2,1)
84Grant(10)  <= Signal_grant(3)(2) or Signal_grant(11)(2); --  Grant(2,2)
85Grant(11)  <= Signal_grant(4)(3) or Signal_grant(12)(3); --  Grant(2,3)
86Grant(12)  <= Signal_grant(5)(4) or Signal_grant(13)(4); --  Grant(2,4)
87Grant(13)  <= Signal_grant(6)(5) or Signal_grant(14)(5); --  Grant(2,5)
88Grant(14)  <= Signal_grant(7)(6) or Signal_grant(15)(6); --  Grant(2,6)
89Grant(15)  <= Signal_grant(8)(7) ;                      --  Grant(2,7)
90Grant(16)  <= Signal_grant(1)(8) or Signal_grant(9)(8); --  Grant(2,8)
91Grant(17)  <= Signal_grant(3)(1) or Signal_grant(11)(1); --  Grant(3,1)
92Grant(18)  <= Signal_grant(4)(2) or Signal_grant(12)(2); --  Grant(3,2)
93Grant(19)  <= Signal_grant(5)(3) or Signal_grant(13)(3); --  Grant(3,3)
94Grant(20)  <= Signal_grant(6)(4) or Signal_grant(14)(4); --  Grant(3,4)
95Grant(21)  <= Signal_grant(7)(5) or Signal_grant(15)(5); --  Grant(3,5)
96Grant(22)  <= Signal_grant(8)(6) ;                      --  Grant(3,6)
97Grant(23)  <= Signal_grant(1)(7) or Signal_grant(9)(7); --  Grant(3,7)
98Grant(24)  <= Signal_grant(2)(8) or Signal_grant(10)(8); --  Grant(3,8)
99Grant(25)  <= Signal_grant(4)(1) or Signal_grant(12)(1); --  Grant(4,1)
100Grant(26)  <= Signal_grant(5)(2) or Signal_grant(13)(2); --  Grant(4,2)
101Grant(27)  <= Signal_grant(6)(3) or Signal_grant(14)(3); --  Grant(4,3)
102Grant(28)  <= Signal_grant(7)(4) or Signal_grant(15)(4); --  Grant(4,4)
103Grant(29)  <= Signal_grant(8)(5) ;                      --  Grant(4,5)
104Grant(30)  <= Signal_grant(1)(6) or Signal_grant(9)(6); --  Grant(4,6)
105Grant(31)  <= Signal_grant(2)(7) or Signal_grant(10)(7); --  Grant(4,7)
106Grant(32)  <= Signal_grant(3)(8) or Signal_grant(11)(8); --  Grant(4,8)
107Grant(33)  <= Signal_grant(5)(1) or Signal_grant(13)(1); --  Grant(5,1)
108Grant(34)  <= Signal_grant(6)(2) or Signal_grant(14)(2); --  Grant(5,2)
109Grant(35)  <= Signal_grant(7)(3) or Signal_grant(15)(3); --  Grant(5,3)
110Grant(36)  <= Signal_grant(8)(4) ;                      --  Grant(5,4)
111Grant(37)  <= Signal_grant(1)(5) or Signal_grant(9)(5); --  Grant(5,5)
112Grant(38)  <= Signal_grant(2)(6) or Signal_grant(10)(6); --  Grant(5,6)
113Grant(39)  <= Signal_grant(3)(7) or Signal_grant(11)(7); --  Grant(5,7)
114Grant(40)  <= Signal_grant(4)(8) or Signal_grant(12)(8); --  Grant(5,8)
115Grant(41)  <= Signal_grant(6)(1) or Signal_grant(14)(1); --  Grant(6,1)
116Grant(42)  <= Signal_grant(7)(2) or Signal_grant(15)(2); --  Grant(6,2)
117Grant(43)  <= Signal_grant(8)(3) ;                      --  Grant(6,3)
118Grant(44)  <= Signal_grant(1)(4) or Signal_grant(9)(4); --  Grant(6,4)
119Grant(45)  <= Signal_grant(2)(5) or Signal_grant(10)(5); --  Grant(6,5)
120Grant(46)  <= Signal_grant(3)(6) or Signal_grant(11)(6); --  Grant(6,6)
121Grant(47)  <= Signal_grant(4)(7) or Signal_grant(12)(7); --  Grant(6,7)
122Grant(48)  <= Signal_grant(5)(8) or Signal_grant(13)(8); --  Grant(6,8)
123Grant(49)  <= Signal_grant(7)(1) or Signal_grant(15)(1); --  Grant(7,1)
124Grant(50)  <= Signal_grant(8)(2) ;                      --  Grant(7,2)
125Grant(51)  <= Signal_grant(1)(3) or Signal_grant(9)(3); --  Grant(7,3)
126Grant(52)  <= Signal_grant(2)(4) or Signal_grant(10)(4); --  Grant(7,4)
127Grant(53)  <= Signal_grant(3)(5) or Signal_grant(11)(5); --  Grant(7,5)
128Grant(54)  <= Signal_grant(4)(6) or Signal_grant(12)(6); --  Grant(7,6)
129Grant(55)  <= Signal_grant(5)(7) or Signal_grant(13)(7); --  Grant(7,7)
130Grant(56)  <= Signal_grant(6)(8) or Signal_grant(14)(8); --  Grant(7,8)
131Grant(57)  <= Signal_grant(8)(1) ;                      --  Grant(8,1)
132Grant(58)  <= Signal_grant(1)(2) or Signal_grant(9)(2); --  Grant(8,2)
133Grant(59)  <= Signal_grant(2)(3) or Signal_grant(10)(3); --  Grant(8,3)
134Grant(60)  <= Signal_grant(3)(4) or Signal_grant(11)(4); --  Grant(8,4)
135Grant(61)  <= Signal_grant(4)(5) or Signal_grant(12)(5); --  Grant(8,5)
136Grant(62)  <= Signal_grant(5)(6) or Signal_grant(13)(6); --  Grant(8,6)
137Grant(63)  <= Signal_grant(6)(7) or Signal_grant(14)(7); --  Grant(8,7)
138Grant(64)  <= Signal_grant(7)(8) or Signal_grant(15)(8); --  Grant(8,8)
139High <= '1';
140
141----instantiations des cellules arbitres et interconnection
142
143-------------------------- Diagonale n° 1
144
145
146Arbiter_1_1 : Arbiter
147
148PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(15), Fifo_full => Fifo_full(1), 
149South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
150
151Arbiter_1_2 : Arbiter
152
153PORT MAP (Request => Request(58), North => High, West => High, P => Signal_priority(15), Fifo_full => Fifo_full(2), 
154South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
155
156Arbiter_1_3 : Arbiter
157
158PORT MAP (Request => Request(51), North => High, West => High, P => Signal_priority(15), Fifo_full => Fifo_full(3), 
159South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
160
161Arbiter_1_4 : Arbiter
162
163PORT MAP (Request => Request(44), North => High, West => High, P => Signal_priority(15), Fifo_full => Fifo_full(4), 
164South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
165
166Arbiter_1_5 : Arbiter
167
168PORT MAP (Request => Request(37), North => High, West => High, P => Signal_priority(15), Fifo_full => Fifo_full(5), 
169South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
170
171Arbiter_1_6 : Arbiter
172
173PORT MAP (Request => Request(30), North => High, West => High, P => Signal_priority(15), Fifo_full => Fifo_full(6), 
174South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
175
176Arbiter_1_7 : Arbiter
177
178PORT MAP (Request => Request(23), North => High, West => High, P => Signal_priority(15), Fifo_full => Fifo_full(7), 
179South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
180
181Arbiter_1_8 : Arbiter
182
183PORT MAP (Request => Request(16), North => High, West => High, P => Signal_priority(15), Fifo_full => Fifo_full(8), 
184South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
185
186-------------------------- Diagonale n° 2
187
188
189Arbiter_2_1 : Arbiter
190
191PORT MAP (Request => Request(9), North => south_2_north(1)(1), West => east_2_west(1)(8), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
192South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
193
194Arbiter_2_2 : Arbiter
195
196PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
197South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
198
199Arbiter_2_3 : Arbiter
200
201PORT MAP (Request => Request(59), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
202South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
203
204Arbiter_2_4 : Arbiter
205
206PORT MAP (Request => Request(52), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
207South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
208
209Arbiter_2_5 : Arbiter
210
211PORT MAP (Request => Request(45), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
212South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
213
214Arbiter_2_6 : Arbiter
215
216PORT MAP (Request => Request(38), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
217South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
218
219Arbiter_2_7 : Arbiter
220
221PORT MAP (Request => Request(31), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
222South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
223
224Arbiter_2_8 : Arbiter
225
226PORT MAP (Request => Request(24), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
227South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
228
229-------------------------- Diagonale n° 3
230
231
232Arbiter_3_1 : Arbiter
233
234PORT MAP (Request => Request(17), North => south_2_north(2)(1), West => east_2_west(2)(8), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
235South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
236
237Arbiter_3_2 : Arbiter
238
239PORT MAP (Request => Request(10), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
240South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
241
242Arbiter_3_3 : Arbiter
243
244PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
245South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
246
247Arbiter_3_4 : Arbiter
248
249PORT MAP (Request => Request(60), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
250South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
251
252Arbiter_3_5 : Arbiter
253
254PORT MAP (Request => Request(53), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
255South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
256
257Arbiter_3_6 : Arbiter
258
259PORT MAP (Request => Request(46), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
260South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
261
262Arbiter_3_7 : Arbiter
263
264PORT MAP (Request => Request(39), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
265South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
266
267Arbiter_3_8 : Arbiter
268
269PORT MAP (Request => Request(32), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
270South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
271
272-------------------------- Diagonale n° 4
273
274
275Arbiter_4_1 : Arbiter
276
277PORT MAP (Request => Request(25), North => south_2_north(3)(1), West => east_2_west(3)(8), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
278South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
279
280Arbiter_4_2 : Arbiter
281
282PORT MAP (Request => Request(18), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
283South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
284
285Arbiter_4_3 : Arbiter
286
287PORT MAP (Request => Request(11), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
288South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
289
290Arbiter_4_4 : Arbiter
291
292PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
293South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
294
295Arbiter_4_5 : Arbiter
296
297PORT MAP (Request => Request(61), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
298South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
299
300Arbiter_4_6 : Arbiter
301
302PORT MAP (Request => Request(54), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
303South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
304
305Arbiter_4_7 : Arbiter
306
307PORT MAP (Request => Request(47), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
308South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
309
310Arbiter_4_8 : Arbiter
311
312PORT MAP (Request => Request(40), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
313South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
314
315-------------------------- Diagonale n° 5
316
317
318Arbiter_5_1 : Arbiter
319
320PORT MAP (Request => Request(33), North => south_2_north(4)(1), West => east_2_west(4)(8), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
321South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
322
323Arbiter_5_2 : Arbiter
324
325PORT MAP (Request => Request(26), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
326South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
327
328Arbiter_5_3 : Arbiter
329
330PORT MAP (Request => Request(19), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
331South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
332
333Arbiter_5_4 : Arbiter
334
335PORT MAP (Request => Request(12), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
336South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
337
338Arbiter_5_5 : Arbiter
339
340PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
341South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
342
343Arbiter_5_6 : Arbiter
344
345PORT MAP (Request => Request(62), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
346South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
347
348Arbiter_5_7 : Arbiter
349
350PORT MAP (Request => Request(55), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
351South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
352
353Arbiter_5_8 : Arbiter
354
355PORT MAP (Request => Request(48), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
356South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
357
358-------------------------- Diagonale n° 6
359
360
361Arbiter_6_1 : Arbiter
362
363PORT MAP (Request => Request(41), North => south_2_north(5)(1), West => east_2_west(5)(8), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
364South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
365
366Arbiter_6_2 : Arbiter
367
368PORT MAP (Request => Request(34), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
369South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
370
371Arbiter_6_3 : Arbiter
372
373PORT MAP (Request => Request(27), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
374South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
375
376Arbiter_6_4 : Arbiter
377
378PORT MAP (Request => Request(20), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
379South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
380
381Arbiter_6_5 : Arbiter
382
383PORT MAP (Request => Request(13), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
384South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
385
386Arbiter_6_6 : Arbiter
387
388PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
389South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
390
391Arbiter_6_7 : Arbiter
392
393PORT MAP (Request => Request(63), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
394South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
395
396Arbiter_6_8 : Arbiter
397
398PORT MAP (Request => Request(56), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
399South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
400
401-------------------------- Diagonale n° 7
402
403
404Arbiter_7_1 : Arbiter
405
406PORT MAP (Request => Request(49), North => south_2_north(6)(1), West => east_2_west(6)(8), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
407South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
408
409Arbiter_7_2 : Arbiter
410
411PORT MAP (Request => Request(42), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
412South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
413
414Arbiter_7_3 : Arbiter
415
416PORT MAP (Request => Request(35), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
417South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
418
419Arbiter_7_4 : Arbiter
420
421PORT MAP (Request => Request(28), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
422South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
423
424Arbiter_7_5 : Arbiter
425
426PORT MAP (Request => Request(21), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
427South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
428
429Arbiter_7_6 : Arbiter
430
431PORT MAP (Request => Request(14), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
432South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
433
434Arbiter_7_7 : Arbiter
435
436PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
437South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
438
439Arbiter_7_8 : Arbiter
440
441PORT MAP (Request => Request(64), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
442South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
443
444-------------------------- Diagonale n° 8
445
446
447Arbiter_8_1 : Arbiter
448
449PORT MAP (Request => Request(57), North => south_2_north(7)(1), West => east_2_west(7)(8), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
450South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
451
452Arbiter_8_2 : Arbiter
453
454PORT MAP (Request => Request(50), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
455South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
456
457Arbiter_8_3 : Arbiter
458
459PORT MAP (Request => Request(43), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
460South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
461
462Arbiter_8_4 : Arbiter
463
464PORT MAP (Request => Request(36), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
465South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
466
467Arbiter_8_5 : Arbiter
468
469PORT MAP (Request => Request(29), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
470South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
471
472Arbiter_8_6 : Arbiter
473
474PORT MAP (Request => Request(22), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
475South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
476
477Arbiter_8_7 : Arbiter
478
479PORT MAP (Request => Request(15), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
480South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
481
482Arbiter_8_8 : Arbiter
483
484PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
485South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
486
487-------------------------- Diagonale n° 9
488
489
490Arbiter_9_1 : Arbiter
491
492PORT MAP (Request => Request(1), North => south_2_north(8)(1), West => east_2_west(8)(8), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
493South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
494
495Arbiter_9_2 : Arbiter
496
497PORT MAP (Request => Request(58), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
498South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
499
500Arbiter_9_3 : Arbiter
501
502PORT MAP (Request => Request(51), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
503South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
504
505Arbiter_9_4 : Arbiter
506
507PORT MAP (Request => Request(44), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
508South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
509
510Arbiter_9_5 : Arbiter
511
512PORT MAP (Request => Request(37), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
513South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
514
515Arbiter_9_6 : Arbiter
516
517PORT MAP (Request => Request(30), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
518South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
519
520Arbiter_9_7 : Arbiter
521
522PORT MAP (Request => Request(23), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
523South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
524
525Arbiter_9_8 : Arbiter
526
527PORT MAP (Request => Request(16), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
528South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
529
530-------------------------- Diagonale n° 10
531
532
533Arbiter_10_1 : Arbiter
534
535PORT MAP (Request => Request(9), North => south_2_north(9)(1), West => east_2_west(9)(8), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
536South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
537
538Arbiter_10_2 : Arbiter
539
540PORT MAP (Request => Request(2), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
541South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
542
543Arbiter_10_3 : Arbiter
544
545PORT MAP (Request => Request(59), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
546South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
547
548Arbiter_10_4 : Arbiter
549
550PORT MAP (Request => Request(52), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
551South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
552
553Arbiter_10_5 : Arbiter
554
555PORT MAP (Request => Request(45), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
556South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
557
558Arbiter_10_6 : Arbiter
559
560PORT MAP (Request => Request(38), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
561South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
562
563Arbiter_10_7 : Arbiter
564
565PORT MAP (Request => Request(31), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
566South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
567
568Arbiter_10_8 : Arbiter
569
570PORT MAP (Request => Request(24), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
571South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
572
573-------------------------- Diagonale n° 11
574
575
576Arbiter_11_1 : Arbiter
577
578PORT MAP (Request => Request(17), North => south_2_north(10)(1), West => east_2_west(10)(8), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
579South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
580
581Arbiter_11_2 : Arbiter
582
583PORT MAP (Request => Request(10), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
584South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
585
586Arbiter_11_3 : Arbiter
587
588PORT MAP (Request => Request(3), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
589South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
590
591Arbiter_11_4 : Arbiter
592
593PORT MAP (Request => Request(60), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
594South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
595
596Arbiter_11_5 : Arbiter
597
598PORT MAP (Request => Request(53), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
599South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
600
601Arbiter_11_6 : Arbiter
602
603PORT MAP (Request => Request(46), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
604South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
605
606Arbiter_11_7 : Arbiter
607
608PORT MAP (Request => Request(39), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
609South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
610
611Arbiter_11_8 : Arbiter
612
613PORT MAP (Request => Request(32), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
614South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
615
616-------------------------- Diagonale n° 12
617
618
619Arbiter_12_1 : Arbiter
620
621PORT MAP (Request => Request(25), North => south_2_north(11)(1), West => east_2_west(11)(8), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
622South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
623
624Arbiter_12_2 : Arbiter
625
626PORT MAP (Request => Request(18), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
627South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
628
629Arbiter_12_3 : Arbiter
630
631PORT MAP (Request => Request(11), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
632South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
633
634Arbiter_12_4 : Arbiter
635
636PORT MAP (Request => Request(4), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
637South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
638
639Arbiter_12_5 : Arbiter
640
641PORT MAP (Request => Request(61), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
642South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
643
644Arbiter_12_6 : Arbiter
645
646PORT MAP (Request => Request(54), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
647South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
648
649Arbiter_12_7 : Arbiter
650
651PORT MAP (Request => Request(47), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
652South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
653
654Arbiter_12_8 : Arbiter
655
656PORT MAP (Request => Request(40), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
657South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
658
659-------------------------- Diagonale n° 13
660
661
662Arbiter_13_1 : Arbiter
663
664PORT MAP (Request => Request(33), North => south_2_north(12)(1), West => east_2_west(12)(8), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
665South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
666
667Arbiter_13_2 : Arbiter
668
669PORT MAP (Request => Request(26), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
670South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
671
672Arbiter_13_3 : Arbiter
673
674PORT MAP (Request => Request(19), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
675South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
676
677Arbiter_13_4 : Arbiter
678
679PORT MAP (Request => Request(12), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
680South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
681
682Arbiter_13_5 : Arbiter
683
684PORT MAP (Request => Request(5), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
685South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
686
687Arbiter_13_6 : Arbiter
688
689PORT MAP (Request => Request(62), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
690South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
691
692Arbiter_13_7 : Arbiter
693
694PORT MAP (Request => Request(55), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
695South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
696
697Arbiter_13_8 : Arbiter
698
699PORT MAP (Request => Request(48), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
700South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
701
702-------------------------- Diagonale n° 14
703
704
705Arbiter_14_1 : Arbiter
706
707PORT MAP (Request => Request(41), North => south_2_north(13)(1), West => east_2_west(13)(8), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
708South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
709
710Arbiter_14_2 : Arbiter
711
712PORT MAP (Request => Request(34), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
713South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
714
715Arbiter_14_3 : Arbiter
716
717PORT MAP (Request => Request(27), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
718South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
719
720Arbiter_14_4 : Arbiter
721
722PORT MAP (Request => Request(20), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
723South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
724
725Arbiter_14_5 : Arbiter
726
727PORT MAP (Request => Request(13), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
728South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
729
730Arbiter_14_6 : Arbiter
731
732PORT MAP (Request => Request(6), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
733South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
734
735Arbiter_14_7 : Arbiter
736
737PORT MAP (Request => Request(63), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
738South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
739
740Arbiter_14_8 : Arbiter
741
742PORT MAP (Request => Request(56), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
743South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
744
745-------------------------- Diagonale n° 15
746
747
748Arbiter_15_1 : Arbiter
749
750PORT MAP (Request => Request(49), North => south_2_north(14)(1), West => east_2_west(14)(8), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
751South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
752
753Arbiter_15_2 : Arbiter
754
755PORT MAP (Request => Request(42), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
756South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
757
758Arbiter_15_3 : Arbiter
759
760PORT MAP (Request => Request(35), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
761South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
762
763Arbiter_15_4 : Arbiter
764
765PORT MAP (Request => Request(28), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
766South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
767
768Arbiter_15_5 : Arbiter
769
770PORT MAP (Request => Request(21), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
771South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
772
773Arbiter_15_6 : Arbiter
774
775PORT MAP (Request => Request(14), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
776South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
777
778Arbiter_15_7 : Arbiter
779
780PORT MAP (Request => Request(7), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
781South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
782
783Arbiter_15_8 : Arbiter
784
785PORT MAP (Request => Request(64), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
786South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
787
788
789--processus permettant de roter la priorité des diagonales à chaque front d'horloge
790 -- rotation round robin
791         round_robin : process(clk)
792        begin
793                if rising_edge(clk) then
794                 if reset ='1' then
795                    Signal_priority <= "111111110000000";
796                  elsif priority_rotation_en = '1' then
797                    case Signal_priority is
798                       when "111111110000000" => Signal_priority <= "011111111000000";
799                       when "011111111000000" => Signal_priority <= "001111111100000";
800                       when "001111111100000" => Signal_priority <= "000111111110000";
801                       when "000111111110000" => Signal_priority <= "000011111111000";
802                       when "000011111111000" => Signal_priority <= "000001111111100";
803                       when "000001111111100" => Signal_priority <= "000000111111110";
804                       when "000000111111110" => Signal_priority <= "000000011111111";
805                       when "000000011111111" => Signal_priority <= "111111110000000";
806                       when others    => Signal_priority <= "111111110000000";
807                  end case;
808                 end if;
809             end if;
810         end process;
811
812end Behavioral;
813
Note: See TracBrowser for help on using the repository browser.