source: PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.02/SCHEDULER12_12.VHD @ 66

Last change on this file since 66 was 22, checked in by rolagamo, 12 years ago
File size: 88.2 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler12_12 is
32    Port ( Request : in  STD_LOGIC_VECTOR (144 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (12 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (12 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (144 downto 1));
38end Scheduler12_12;
39
40architecture Behavioral of Scheduler12_12 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(23 downto 1) of STD_LOGIC_VECTOR(12 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (23 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(144 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant :  std_logic_vector(144 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61 priority_rotation_en <= '1' when unsigned(priority_rotation) = 4095 else       '0';
62--latch servant qui memorise le signal grant pendant a transmission
63grant_latch_process : process(clk)
64 begin
65  if rising_edge(clk) then
66   if reset = '1' then
67                grant_latch <= (others => '0');
68         elsif priority_rotation_en = '1' then
69           grant_latch <= Grant;
70   end if;
71   end if;
72 end process;
73 port_grant <= Grant and grant_latch;
74 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(13)(1); --  Grant(1,1)
75Grant(2)  <= Signal_grant(2)(2) or Signal_grant(14)(2); --  Grant(1,2)
76Grant(3)  <= Signal_grant(3)(3) or Signal_grant(15)(3); --  Grant(1,3)
77Grant(4)  <= Signal_grant(4)(4) or Signal_grant(16)(4); --  Grant(1,4)
78Grant(5)  <= Signal_grant(5)(5) or Signal_grant(17)(5); --  Grant(1,5)
79Grant(6)  <= Signal_grant(6)(6) or Signal_grant(18)(6); --  Grant(1,6)
80Grant(7)  <= Signal_grant(7)(7) or Signal_grant(19)(7); --  Grant(1,7)
81Grant(8)  <= Signal_grant(8)(8) or Signal_grant(20)(8); --  Grant(1,8)
82Grant(9)  <= Signal_grant(9)(9) or Signal_grant(21)(9); --  Grant(1,9)
83Grant(10)  <= Signal_grant(10)(10) or Signal_grant(22)(10); --  Grant(1,10)
84Grant(11)  <= Signal_grant(11)(11) or Signal_grant(23)(11); --  Grant(1,11)
85Grant(12)  <= Signal_grant(12)(12) ;                      --  Grant(1,12)
86Grant(13)  <= Signal_grant(2)(1) or Signal_grant(14)(1); --  Grant(2,1)
87Grant(14)  <= Signal_grant(3)(2) or Signal_grant(15)(2); --  Grant(2,2)
88Grant(15)  <= Signal_grant(4)(3) or Signal_grant(16)(3); --  Grant(2,3)
89Grant(16)  <= Signal_grant(5)(4) or Signal_grant(17)(4); --  Grant(2,4)
90Grant(17)  <= Signal_grant(6)(5) or Signal_grant(18)(5); --  Grant(2,5)
91Grant(18)  <= Signal_grant(7)(6) or Signal_grant(19)(6); --  Grant(2,6)
92Grant(19)  <= Signal_grant(8)(7) or Signal_grant(20)(7); --  Grant(2,7)
93Grant(20)  <= Signal_grant(9)(8) or Signal_grant(21)(8); --  Grant(2,8)
94Grant(21)  <= Signal_grant(10)(9) or Signal_grant(22)(9); --  Grant(2,9)
95Grant(22)  <= Signal_grant(11)(10) or Signal_grant(23)(10); --  Grant(2,10)
96Grant(23)  <= Signal_grant(12)(11) ;                      --  Grant(2,11)
97Grant(24)  <= Signal_grant(1)(12) or Signal_grant(13)(12); --  Grant(2,12)
98Grant(25)  <= Signal_grant(3)(1) or Signal_grant(15)(1); --  Grant(3,1)
99Grant(26)  <= Signal_grant(4)(2) or Signal_grant(16)(2); --  Grant(3,2)
100Grant(27)  <= Signal_grant(5)(3) or Signal_grant(17)(3); --  Grant(3,3)
101Grant(28)  <= Signal_grant(6)(4) or Signal_grant(18)(4); --  Grant(3,4)
102Grant(29)  <= Signal_grant(7)(5) or Signal_grant(19)(5); --  Grant(3,5)
103Grant(30)  <= Signal_grant(8)(6) or Signal_grant(20)(6); --  Grant(3,6)
104Grant(31)  <= Signal_grant(9)(7) or Signal_grant(21)(7); --  Grant(3,7)
105Grant(32)  <= Signal_grant(10)(8) or Signal_grant(22)(8); --  Grant(3,8)
106Grant(33)  <= Signal_grant(11)(9) or Signal_grant(23)(9); --  Grant(3,9)
107Grant(34)  <= Signal_grant(12)(10) ;                      --  Grant(3,10)
108Grant(35)  <= Signal_grant(1)(11) or Signal_grant(13)(11); --  Grant(3,11)
109Grant(36)  <= Signal_grant(2)(12) or Signal_grant(14)(12); --  Grant(3,12)
110Grant(37)  <= Signal_grant(4)(1) or Signal_grant(16)(1); --  Grant(4,1)
111Grant(38)  <= Signal_grant(5)(2) or Signal_grant(17)(2); --  Grant(4,2)
112Grant(39)  <= Signal_grant(6)(3) or Signal_grant(18)(3); --  Grant(4,3)
113Grant(40)  <= Signal_grant(7)(4) or Signal_grant(19)(4); --  Grant(4,4)
114Grant(41)  <= Signal_grant(8)(5) or Signal_grant(20)(5); --  Grant(4,5)
115Grant(42)  <= Signal_grant(9)(6) or Signal_grant(21)(6); --  Grant(4,6)
116Grant(43)  <= Signal_grant(10)(7) or Signal_grant(22)(7); --  Grant(4,7)
117Grant(44)  <= Signal_grant(11)(8) or Signal_grant(23)(8); --  Grant(4,8)
118Grant(45)  <= Signal_grant(12)(9) ;                      --  Grant(4,9)
119Grant(46)  <= Signal_grant(1)(10) or Signal_grant(13)(10); --  Grant(4,10)
120Grant(47)  <= Signal_grant(2)(11) or Signal_grant(14)(11); --  Grant(4,11)
121Grant(48)  <= Signal_grant(3)(12) or Signal_grant(15)(12); --  Grant(4,12)
122Grant(49)  <= Signal_grant(5)(1) or Signal_grant(17)(1); --  Grant(5,1)
123Grant(50)  <= Signal_grant(6)(2) or Signal_grant(18)(2); --  Grant(5,2)
124Grant(51)  <= Signal_grant(7)(3) or Signal_grant(19)(3); --  Grant(5,3)
125Grant(52)  <= Signal_grant(8)(4) or Signal_grant(20)(4); --  Grant(5,4)
126Grant(53)  <= Signal_grant(9)(5) or Signal_grant(21)(5); --  Grant(5,5)
127Grant(54)  <= Signal_grant(10)(6) or Signal_grant(22)(6); --  Grant(5,6)
128Grant(55)  <= Signal_grant(11)(7) or Signal_grant(23)(7); --  Grant(5,7)
129Grant(56)  <= Signal_grant(12)(8) ;                      --  Grant(5,8)
130Grant(57)  <= Signal_grant(1)(9) or Signal_grant(13)(9); --  Grant(5,9)
131Grant(58)  <= Signal_grant(2)(10) or Signal_grant(14)(10); --  Grant(5,10)
132Grant(59)  <= Signal_grant(3)(11) or Signal_grant(15)(11); --  Grant(5,11)
133Grant(60)  <= Signal_grant(4)(12) or Signal_grant(16)(12); --  Grant(5,12)
134Grant(61)  <= Signal_grant(6)(1) or Signal_grant(18)(1); --  Grant(6,1)
135Grant(62)  <= Signal_grant(7)(2) or Signal_grant(19)(2); --  Grant(6,2)
136Grant(63)  <= Signal_grant(8)(3) or Signal_grant(20)(3); --  Grant(6,3)
137Grant(64)  <= Signal_grant(9)(4) or Signal_grant(21)(4); --  Grant(6,4)
138Grant(65)  <= Signal_grant(10)(5) or Signal_grant(22)(5); --  Grant(6,5)
139Grant(66)  <= Signal_grant(11)(6) or Signal_grant(23)(6); --  Grant(6,6)
140Grant(67)  <= Signal_grant(12)(7) ;                      --  Grant(6,7)
141Grant(68)  <= Signal_grant(1)(8) or Signal_grant(13)(8); --  Grant(6,8)
142Grant(69)  <= Signal_grant(2)(9) or Signal_grant(14)(9); --  Grant(6,9)
143Grant(70)  <= Signal_grant(3)(10) or Signal_grant(15)(10); --  Grant(6,10)
144Grant(71)  <= Signal_grant(4)(11) or Signal_grant(16)(11); --  Grant(6,11)
145Grant(72)  <= Signal_grant(5)(12) or Signal_grant(17)(12); --  Grant(6,12)
146Grant(73)  <= Signal_grant(7)(1) or Signal_grant(19)(1); --  Grant(7,1)
147Grant(74)  <= Signal_grant(8)(2) or Signal_grant(20)(2); --  Grant(7,2)
148Grant(75)  <= Signal_grant(9)(3) or Signal_grant(21)(3); --  Grant(7,3)
149Grant(76)  <= Signal_grant(10)(4) or Signal_grant(22)(4); --  Grant(7,4)
150Grant(77)  <= Signal_grant(11)(5) or Signal_grant(23)(5); --  Grant(7,5)
151Grant(78)  <= Signal_grant(12)(6) ;                      --  Grant(7,6)
152Grant(79)  <= Signal_grant(1)(7) or Signal_grant(13)(7); --  Grant(7,7)
153Grant(80)  <= Signal_grant(2)(8) or Signal_grant(14)(8); --  Grant(7,8)
154Grant(81)  <= Signal_grant(3)(9) or Signal_grant(15)(9); --  Grant(7,9)
155Grant(82)  <= Signal_grant(4)(10) or Signal_grant(16)(10); --  Grant(7,10)
156Grant(83)  <= Signal_grant(5)(11) or Signal_grant(17)(11); --  Grant(7,11)
157Grant(84)  <= Signal_grant(6)(12) or Signal_grant(18)(12); --  Grant(7,12)
158Grant(85)  <= Signal_grant(8)(1) or Signal_grant(20)(1); --  Grant(8,1)
159Grant(86)  <= Signal_grant(9)(2) or Signal_grant(21)(2); --  Grant(8,2)
160Grant(87)  <= Signal_grant(10)(3) or Signal_grant(22)(3); --  Grant(8,3)
161Grant(88)  <= Signal_grant(11)(4) or Signal_grant(23)(4); --  Grant(8,4)
162Grant(89)  <= Signal_grant(12)(5) ;                      --  Grant(8,5)
163Grant(90)  <= Signal_grant(1)(6) or Signal_grant(13)(6); --  Grant(8,6)
164Grant(91)  <= Signal_grant(2)(7) or Signal_grant(14)(7); --  Grant(8,7)
165Grant(92)  <= Signal_grant(3)(8) or Signal_grant(15)(8); --  Grant(8,8)
166Grant(93)  <= Signal_grant(4)(9) or Signal_grant(16)(9); --  Grant(8,9)
167Grant(94)  <= Signal_grant(5)(10) or Signal_grant(17)(10); --  Grant(8,10)
168Grant(95)  <= Signal_grant(6)(11) or Signal_grant(18)(11); --  Grant(8,11)
169Grant(96)  <= Signal_grant(7)(12) or Signal_grant(19)(12); --  Grant(8,12)
170Grant(97)  <= Signal_grant(9)(1) or Signal_grant(21)(1); --  Grant(9,1)
171Grant(98)  <= Signal_grant(10)(2) or Signal_grant(22)(2); --  Grant(9,2)
172Grant(99)  <= Signal_grant(11)(3) or Signal_grant(23)(3); --  Grant(9,3)
173Grant(100)  <= Signal_grant(12)(4) ;                      --  Grant(9,4)
174Grant(101)  <= Signal_grant(1)(5) or Signal_grant(13)(5); --  Grant(9,5)
175Grant(102)  <= Signal_grant(2)(6) or Signal_grant(14)(6); --  Grant(9,6)
176Grant(103)  <= Signal_grant(3)(7) or Signal_grant(15)(7); --  Grant(9,7)
177Grant(104)  <= Signal_grant(4)(8) or Signal_grant(16)(8); --  Grant(9,8)
178Grant(105)  <= Signal_grant(5)(9) or Signal_grant(17)(9); --  Grant(9,9)
179Grant(106)  <= Signal_grant(6)(10) or Signal_grant(18)(10); --  Grant(9,10)
180Grant(107)  <= Signal_grant(7)(11) or Signal_grant(19)(11); --  Grant(9,11)
181Grant(108)  <= Signal_grant(8)(12) or Signal_grant(20)(12); --  Grant(9,12)
182Grant(109)  <= Signal_grant(10)(1) or Signal_grant(22)(1); --  Grant(10,1)
183Grant(110)  <= Signal_grant(11)(2) or Signal_grant(23)(2); --  Grant(10,2)
184Grant(111)  <= Signal_grant(12)(3) ;                      --  Grant(10,3)
185Grant(112)  <= Signal_grant(1)(4) or Signal_grant(13)(4); --  Grant(10,4)
186Grant(113)  <= Signal_grant(2)(5) or Signal_grant(14)(5); --  Grant(10,5)
187Grant(114)  <= Signal_grant(3)(6) or Signal_grant(15)(6); --  Grant(10,6)
188Grant(115)  <= Signal_grant(4)(7) or Signal_grant(16)(7); --  Grant(10,7)
189Grant(116)  <= Signal_grant(5)(8) or Signal_grant(17)(8); --  Grant(10,8)
190Grant(117)  <= Signal_grant(6)(9) or Signal_grant(18)(9); --  Grant(10,9)
191Grant(118)  <= Signal_grant(7)(10) or Signal_grant(19)(10); --  Grant(10,10)
192Grant(119)  <= Signal_grant(8)(11) or Signal_grant(20)(11); --  Grant(10,11)
193Grant(120)  <= Signal_grant(9)(12) or Signal_grant(21)(12); --  Grant(10,12)
194Grant(121)  <= Signal_grant(11)(1) or Signal_grant(23)(1); --  Grant(11,1)
195Grant(122)  <= Signal_grant(12)(2) ;                      --  Grant(11,2)
196Grant(123)  <= Signal_grant(1)(3) or Signal_grant(13)(3); --  Grant(11,3)
197Grant(124)  <= Signal_grant(2)(4) or Signal_grant(14)(4); --  Grant(11,4)
198Grant(125)  <= Signal_grant(3)(5) or Signal_grant(15)(5); --  Grant(11,5)
199Grant(126)  <= Signal_grant(4)(6) or Signal_grant(16)(6); --  Grant(11,6)
200Grant(127)  <= Signal_grant(5)(7) or Signal_grant(17)(7); --  Grant(11,7)
201Grant(128)  <= Signal_grant(6)(8) or Signal_grant(18)(8); --  Grant(11,8)
202Grant(129)  <= Signal_grant(7)(9) or Signal_grant(19)(9); --  Grant(11,9)
203Grant(130)  <= Signal_grant(8)(10) or Signal_grant(20)(10); --  Grant(11,10)
204Grant(131)  <= Signal_grant(9)(11) or Signal_grant(21)(11); --  Grant(11,11)
205Grant(132)  <= Signal_grant(10)(12) or Signal_grant(22)(12); --  Grant(11,12)
206Grant(133)  <= Signal_grant(12)(1) ;                      --  Grant(12,1)
207Grant(134)  <= Signal_grant(1)(2) or Signal_grant(13)(2); --  Grant(12,2)
208Grant(135)  <= Signal_grant(2)(3) or Signal_grant(14)(3); --  Grant(12,3)
209Grant(136)  <= Signal_grant(3)(4) or Signal_grant(15)(4); --  Grant(12,4)
210Grant(137)  <= Signal_grant(4)(5) or Signal_grant(16)(5); --  Grant(12,5)
211Grant(138)  <= Signal_grant(5)(6) or Signal_grant(17)(6); --  Grant(12,6)
212Grant(139)  <= Signal_grant(6)(7) or Signal_grant(18)(7); --  Grant(12,7)
213Grant(140)  <= Signal_grant(7)(8) or Signal_grant(19)(8); --  Grant(12,8)
214Grant(141)  <= Signal_grant(8)(9) or Signal_grant(20)(9); --  Grant(12,9)
215Grant(142)  <= Signal_grant(9)(10) or Signal_grant(21)(10); --  Grant(12,10)
216Grant(143)  <= Signal_grant(10)(11) or Signal_grant(22)(11); --  Grant(12,11)
217Grant(144)  <= Signal_grant(11)(12) or Signal_grant(23)(12); --  Grant(12,12)
218High <= '1';
219
220----instantiations des cellules arbitres et interconnection
221
222-------------------------- Diagonale n° 1
223
224
225Arbiter_1_1 : Arbiter
226
227PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(1), 
228South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
229
230Arbiter_1_2 : Arbiter
231
232PORT MAP (Request => Request(134), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(2), 
233South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
234
235Arbiter_1_3 : Arbiter
236
237PORT MAP (Request => Request(123), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(3), 
238South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
239
240Arbiter_1_4 : Arbiter
241
242PORT MAP (Request => Request(112), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(4), 
243South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
244
245Arbiter_1_5 : Arbiter
246
247PORT MAP (Request => Request(101), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(5), 
248South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
249
250Arbiter_1_6 : Arbiter
251
252PORT MAP (Request => Request(90), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(6), 
253South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
254
255Arbiter_1_7 : Arbiter
256
257PORT MAP (Request => Request(79), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(7), 
258South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
259
260Arbiter_1_8 : Arbiter
261
262PORT MAP (Request => Request(68), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(8), 
263South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
264
265Arbiter_1_9 : Arbiter
266
267PORT MAP (Request => Request(57), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(9), 
268South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
269
270Arbiter_1_10 : Arbiter
271
272PORT MAP (Request => Request(46), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(10), 
273South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
274
275Arbiter_1_11 : Arbiter
276
277PORT MAP (Request => Request(35), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(11), 
278South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
279
280Arbiter_1_12 : Arbiter
281
282PORT MAP (Request => Request(24), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(12), 
283South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
284
285-------------------------- Diagonale n° 2
286
287
288Arbiter_2_1 : Arbiter
289
290PORT MAP (Request => Request(13), North => south_2_north(1)(1), West => east_2_west(1)(12), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
291South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
292
293Arbiter_2_2 : Arbiter
294
295PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
296South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
297
298Arbiter_2_3 : Arbiter
299
300PORT MAP (Request => Request(135), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
301South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
302
303Arbiter_2_4 : Arbiter
304
305PORT MAP (Request => Request(124), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
306South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
307
308Arbiter_2_5 : Arbiter
309
310PORT MAP (Request => Request(113), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
311South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
312
313Arbiter_2_6 : Arbiter
314
315PORT MAP (Request => Request(102), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
316South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
317
318Arbiter_2_7 : Arbiter
319
320PORT MAP (Request => Request(91), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
321South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
322
323Arbiter_2_8 : Arbiter
324
325PORT MAP (Request => Request(80), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
326South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
327
328Arbiter_2_9 : Arbiter
329
330PORT MAP (Request => Request(69), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
331South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
332
333Arbiter_2_10 : Arbiter
334
335PORT MAP (Request => Request(58), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
336South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
337
338Arbiter_2_11 : Arbiter
339
340PORT MAP (Request => Request(47), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
341South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
342
343Arbiter_2_12 : Arbiter
344
345PORT MAP (Request => Request(36), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
346South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
347
348-------------------------- Diagonale n° 3
349
350
351Arbiter_3_1 : Arbiter
352
353PORT MAP (Request => Request(25), North => south_2_north(2)(1), West => east_2_west(2)(12), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
354South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
355
356Arbiter_3_2 : Arbiter
357
358PORT MAP (Request => Request(14), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
359South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
360
361Arbiter_3_3 : Arbiter
362
363PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
364South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
365
366Arbiter_3_4 : Arbiter
367
368PORT MAP (Request => Request(136), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
369South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
370
371Arbiter_3_5 : Arbiter
372
373PORT MAP (Request => Request(125), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
374South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
375
376Arbiter_3_6 : Arbiter
377
378PORT MAP (Request => Request(114), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
379South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
380
381Arbiter_3_7 : Arbiter
382
383PORT MAP (Request => Request(103), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
384South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
385
386Arbiter_3_8 : Arbiter
387
388PORT MAP (Request => Request(92), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
389South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
390
391Arbiter_3_9 : Arbiter
392
393PORT MAP (Request => Request(81), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
394South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
395
396Arbiter_3_10 : Arbiter
397
398PORT MAP (Request => Request(70), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
399South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
400
401Arbiter_3_11 : Arbiter
402
403PORT MAP (Request => Request(59), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
404South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
405
406Arbiter_3_12 : Arbiter
407
408PORT MAP (Request => Request(48), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
409South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
410
411-------------------------- Diagonale n° 4
412
413
414Arbiter_4_1 : Arbiter
415
416PORT MAP (Request => Request(37), North => south_2_north(3)(1), West => east_2_west(3)(12), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
417South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
418
419Arbiter_4_2 : Arbiter
420
421PORT MAP (Request => Request(26), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
422South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
423
424Arbiter_4_3 : Arbiter
425
426PORT MAP (Request => Request(15), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
427South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
428
429Arbiter_4_4 : Arbiter
430
431PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
432South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
433
434Arbiter_4_5 : Arbiter
435
436PORT MAP (Request => Request(137), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
437South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
438
439Arbiter_4_6 : Arbiter
440
441PORT MAP (Request => Request(126), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
442South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
443
444Arbiter_4_7 : Arbiter
445
446PORT MAP (Request => Request(115), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
447South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
448
449Arbiter_4_8 : Arbiter
450
451PORT MAP (Request => Request(104), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
452South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
453
454Arbiter_4_9 : Arbiter
455
456PORT MAP (Request => Request(93), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
457South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
458
459Arbiter_4_10 : Arbiter
460
461PORT MAP (Request => Request(82), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
462South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
463
464Arbiter_4_11 : Arbiter
465
466PORT MAP (Request => Request(71), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
467South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
468
469Arbiter_4_12 : Arbiter
470
471PORT MAP (Request => Request(60), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
472South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
473
474-------------------------- Diagonale n° 5
475
476
477Arbiter_5_1 : Arbiter
478
479PORT MAP (Request => Request(49), North => south_2_north(4)(1), West => east_2_west(4)(12), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
480South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
481
482Arbiter_5_2 : Arbiter
483
484PORT MAP (Request => Request(38), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
485South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
486
487Arbiter_5_3 : Arbiter
488
489PORT MAP (Request => Request(27), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
490South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
491
492Arbiter_5_4 : Arbiter
493
494PORT MAP (Request => Request(16), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
495South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
496
497Arbiter_5_5 : Arbiter
498
499PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
500South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
501
502Arbiter_5_6 : Arbiter
503
504PORT MAP (Request => Request(138), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
505South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
506
507Arbiter_5_7 : Arbiter
508
509PORT MAP (Request => Request(127), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
510South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
511
512Arbiter_5_8 : Arbiter
513
514PORT MAP (Request => Request(116), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
515South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
516
517Arbiter_5_9 : Arbiter
518
519PORT MAP (Request => Request(105), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
520South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
521
522Arbiter_5_10 : Arbiter
523
524PORT MAP (Request => Request(94), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
525South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
526
527Arbiter_5_11 : Arbiter
528
529PORT MAP (Request => Request(83), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
530South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
531
532Arbiter_5_12 : Arbiter
533
534PORT MAP (Request => Request(72), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
535South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
536
537-------------------------- Diagonale n° 6
538
539
540Arbiter_6_1 : Arbiter
541
542PORT MAP (Request => Request(61), North => south_2_north(5)(1), West => east_2_west(5)(12), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
543South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
544
545Arbiter_6_2 : Arbiter
546
547PORT MAP (Request => Request(50), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
548South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
549
550Arbiter_6_3 : Arbiter
551
552PORT MAP (Request => Request(39), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
553South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
554
555Arbiter_6_4 : Arbiter
556
557PORT MAP (Request => Request(28), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
558South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
559
560Arbiter_6_5 : Arbiter
561
562PORT MAP (Request => Request(17), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
563South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
564
565Arbiter_6_6 : Arbiter
566
567PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
568South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
569
570Arbiter_6_7 : Arbiter
571
572PORT MAP (Request => Request(139), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
573South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
574
575Arbiter_6_8 : Arbiter
576
577PORT MAP (Request => Request(128), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
578South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
579
580Arbiter_6_9 : Arbiter
581
582PORT MAP (Request => Request(117), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
583South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
584
585Arbiter_6_10 : Arbiter
586
587PORT MAP (Request => Request(106), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
588South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
589
590Arbiter_6_11 : Arbiter
591
592PORT MAP (Request => Request(95), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
593South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
594
595Arbiter_6_12 : Arbiter
596
597PORT MAP (Request => Request(84), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
598South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
599
600-------------------------- Diagonale n° 7
601
602
603Arbiter_7_1 : Arbiter
604
605PORT MAP (Request => Request(73), North => south_2_north(6)(1), West => east_2_west(6)(12), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
606South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
607
608Arbiter_7_2 : Arbiter
609
610PORT MAP (Request => Request(62), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
611South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
612
613Arbiter_7_3 : Arbiter
614
615PORT MAP (Request => Request(51), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
616South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
617
618Arbiter_7_4 : Arbiter
619
620PORT MAP (Request => Request(40), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
621South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
622
623Arbiter_7_5 : Arbiter
624
625PORT MAP (Request => Request(29), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
626South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
627
628Arbiter_7_6 : Arbiter
629
630PORT MAP (Request => Request(18), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
631South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
632
633Arbiter_7_7 : Arbiter
634
635PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
636South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
637
638Arbiter_7_8 : Arbiter
639
640PORT MAP (Request => Request(140), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
641South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
642
643Arbiter_7_9 : Arbiter
644
645PORT MAP (Request => Request(129), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
646South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
647
648Arbiter_7_10 : Arbiter
649
650PORT MAP (Request => Request(118), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
651South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
652
653Arbiter_7_11 : Arbiter
654
655PORT MAP (Request => Request(107), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
656South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
657
658Arbiter_7_12 : Arbiter
659
660PORT MAP (Request => Request(96), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
661South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
662
663-------------------------- Diagonale n° 8
664
665
666Arbiter_8_1 : Arbiter
667
668PORT MAP (Request => Request(85), North => south_2_north(7)(1), West => east_2_west(7)(12), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
669South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
670
671Arbiter_8_2 : Arbiter
672
673PORT MAP (Request => Request(74), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
674South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
675
676Arbiter_8_3 : Arbiter
677
678PORT MAP (Request => Request(63), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
679South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
680
681Arbiter_8_4 : Arbiter
682
683PORT MAP (Request => Request(52), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
684South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
685
686Arbiter_8_5 : Arbiter
687
688PORT MAP (Request => Request(41), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
689South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
690
691Arbiter_8_6 : Arbiter
692
693PORT MAP (Request => Request(30), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
694South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
695
696Arbiter_8_7 : Arbiter
697
698PORT MAP (Request => Request(19), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
699South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
700
701Arbiter_8_8 : Arbiter
702
703PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
704South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
705
706Arbiter_8_9 : Arbiter
707
708PORT MAP (Request => Request(141), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
709South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
710
711Arbiter_8_10 : Arbiter
712
713PORT MAP (Request => Request(130), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
714South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
715
716Arbiter_8_11 : Arbiter
717
718PORT MAP (Request => Request(119), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
719South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
720
721Arbiter_8_12 : Arbiter
722
723PORT MAP (Request => Request(108), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
724South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
725
726-------------------------- Diagonale n° 9
727
728
729Arbiter_9_1 : Arbiter
730
731PORT MAP (Request => Request(97), North => south_2_north(8)(1), West => east_2_west(8)(12), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
732South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
733
734Arbiter_9_2 : Arbiter
735
736PORT MAP (Request => Request(86), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
737South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
738
739Arbiter_9_3 : Arbiter
740
741PORT MAP (Request => Request(75), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
742South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
743
744Arbiter_9_4 : Arbiter
745
746PORT MAP (Request => Request(64), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
747South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
748
749Arbiter_9_5 : Arbiter
750
751PORT MAP (Request => Request(53), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
752South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
753
754Arbiter_9_6 : Arbiter
755
756PORT MAP (Request => Request(42), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
757South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
758
759Arbiter_9_7 : Arbiter
760
761PORT MAP (Request => Request(31), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
762South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
763
764Arbiter_9_8 : Arbiter
765
766PORT MAP (Request => Request(20), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
767South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
768
769Arbiter_9_9 : Arbiter
770
771PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
772South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
773
774Arbiter_9_10 : Arbiter
775
776PORT MAP (Request => Request(142), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
777South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
778
779Arbiter_9_11 : Arbiter
780
781PORT MAP (Request => Request(131), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
782South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
783
784Arbiter_9_12 : Arbiter
785
786PORT MAP (Request => Request(120), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
787South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
788
789-------------------------- Diagonale n° 10
790
791
792Arbiter_10_1 : Arbiter
793
794PORT MAP (Request => Request(109), North => south_2_north(9)(1), West => east_2_west(9)(12), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
795South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
796
797Arbiter_10_2 : Arbiter
798
799PORT MAP (Request => Request(98), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
800South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
801
802Arbiter_10_3 : Arbiter
803
804PORT MAP (Request => Request(87), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
805South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
806
807Arbiter_10_4 : Arbiter
808
809PORT MAP (Request => Request(76), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
810South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
811
812Arbiter_10_5 : Arbiter
813
814PORT MAP (Request => Request(65), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
815South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
816
817Arbiter_10_6 : Arbiter
818
819PORT MAP (Request => Request(54), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
820South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
821
822Arbiter_10_7 : Arbiter
823
824PORT MAP (Request => Request(43), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
825South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
826
827Arbiter_10_8 : Arbiter
828
829PORT MAP (Request => Request(32), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
830South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
831
832Arbiter_10_9 : Arbiter
833
834PORT MAP (Request => Request(21), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
835South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
836
837Arbiter_10_10 : Arbiter
838
839PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
840South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
841
842Arbiter_10_11 : Arbiter
843
844PORT MAP (Request => Request(143), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
845South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
846
847Arbiter_10_12 : Arbiter
848
849PORT MAP (Request => Request(132), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
850South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
851
852-------------------------- Diagonale n° 11
853
854
855Arbiter_11_1 : Arbiter
856
857PORT MAP (Request => Request(121), North => south_2_north(10)(1), West => east_2_west(10)(12), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
858South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
859
860Arbiter_11_2 : Arbiter
861
862PORT MAP (Request => Request(110), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
863South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
864
865Arbiter_11_3 : Arbiter
866
867PORT MAP (Request => Request(99), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
868South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
869
870Arbiter_11_4 : Arbiter
871
872PORT MAP (Request => Request(88), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
873South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
874
875Arbiter_11_5 : Arbiter
876
877PORT MAP (Request => Request(77), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
878South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
879
880Arbiter_11_6 : Arbiter
881
882PORT MAP (Request => Request(66), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
883South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
884
885Arbiter_11_7 : Arbiter
886
887PORT MAP (Request => Request(55), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
888South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
889
890Arbiter_11_8 : Arbiter
891
892PORT MAP (Request => Request(44), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
893South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
894
895Arbiter_11_9 : Arbiter
896
897PORT MAP (Request => Request(33), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
898South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
899
900Arbiter_11_10 : Arbiter
901
902PORT MAP (Request => Request(22), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
903South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
904
905Arbiter_11_11 : Arbiter
906
907PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
908South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
909
910Arbiter_11_12 : Arbiter
911
912PORT MAP (Request => Request(144), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
913South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
914
915-------------------------- Diagonale n° 12
916
917
918Arbiter_12_1 : Arbiter
919
920PORT MAP (Request => Request(133), North => south_2_north(11)(1), West => east_2_west(11)(12), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
921South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
922
923Arbiter_12_2 : Arbiter
924
925PORT MAP (Request => Request(122), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
926South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
927
928Arbiter_12_3 : Arbiter
929
930PORT MAP (Request => Request(111), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
931South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
932
933Arbiter_12_4 : Arbiter
934
935PORT MAP (Request => Request(100), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
936South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
937
938Arbiter_12_5 : Arbiter
939
940PORT MAP (Request => Request(89), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
941South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
942
943Arbiter_12_6 : Arbiter
944
945PORT MAP (Request => Request(78), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
946South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
947
948Arbiter_12_7 : Arbiter
949
950PORT MAP (Request => Request(67), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
951South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
952
953Arbiter_12_8 : Arbiter
954
955PORT MAP (Request => Request(56), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
956South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
957
958Arbiter_12_9 : Arbiter
959
960PORT MAP (Request => Request(45), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
961South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
962
963Arbiter_12_10 : Arbiter
964
965PORT MAP (Request => Request(34), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
966South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
967
968Arbiter_12_11 : Arbiter
969
970PORT MAP (Request => Request(23), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
971South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
972
973Arbiter_12_12 : Arbiter
974
975PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
976South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
977
978-------------------------- Diagonale n° 13
979
980
981Arbiter_13_1 : Arbiter
982
983PORT MAP (Request => Request(1), North => south_2_north(12)(1), West => east_2_west(12)(12), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
984South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
985
986Arbiter_13_2 : Arbiter
987
988PORT MAP (Request => Request(134), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
989South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
990
991Arbiter_13_3 : Arbiter
992
993PORT MAP (Request => Request(123), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
994South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
995
996Arbiter_13_4 : Arbiter
997
998PORT MAP (Request => Request(112), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
999South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1000
1001Arbiter_13_5 : Arbiter
1002
1003PORT MAP (Request => Request(101), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
1004South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1005
1006Arbiter_13_6 : Arbiter
1007
1008PORT MAP (Request => Request(90), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
1009South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1010
1011Arbiter_13_7 : Arbiter
1012
1013PORT MAP (Request => Request(79), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
1014South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1015
1016Arbiter_13_8 : Arbiter
1017
1018PORT MAP (Request => Request(68), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
1019South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1020
1021Arbiter_13_9 : Arbiter
1022
1023PORT MAP (Request => Request(57), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
1024South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1025
1026Arbiter_13_10 : Arbiter
1027
1028PORT MAP (Request => Request(46), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
1029South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1030
1031Arbiter_13_11 : Arbiter
1032
1033PORT MAP (Request => Request(35), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
1034South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1035
1036Arbiter_13_12 : Arbiter
1037
1038PORT MAP (Request => Request(24), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
1039South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1040
1041-------------------------- Diagonale n° 14
1042
1043
1044Arbiter_14_1 : Arbiter
1045
1046PORT MAP (Request => Request(13), North => south_2_north(13)(1), West => east_2_west(13)(12), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
1047South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1048
1049Arbiter_14_2 : Arbiter
1050
1051PORT MAP (Request => Request(2), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
1052South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1053
1054Arbiter_14_3 : Arbiter
1055
1056PORT MAP (Request => Request(135), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
1057South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1058
1059Arbiter_14_4 : Arbiter
1060
1061PORT MAP (Request => Request(124), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
1062South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1063
1064Arbiter_14_5 : Arbiter
1065
1066PORT MAP (Request => Request(113), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
1067South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1068
1069Arbiter_14_6 : Arbiter
1070
1071PORT MAP (Request => Request(102), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
1072South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1073
1074Arbiter_14_7 : Arbiter
1075
1076PORT MAP (Request => Request(91), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
1077South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1078
1079Arbiter_14_8 : Arbiter
1080
1081PORT MAP (Request => Request(80), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
1082South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1083
1084Arbiter_14_9 : Arbiter
1085
1086PORT MAP (Request => Request(69), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
1087South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1088
1089Arbiter_14_10 : Arbiter
1090
1091PORT MAP (Request => Request(58), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
1092South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1093
1094Arbiter_14_11 : Arbiter
1095
1096PORT MAP (Request => Request(47), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
1097South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1098
1099Arbiter_14_12 : Arbiter
1100
1101PORT MAP (Request => Request(36), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
1102South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1103
1104-------------------------- Diagonale n° 15
1105
1106
1107Arbiter_15_1 : Arbiter
1108
1109PORT MAP (Request => Request(25), North => south_2_north(14)(1), West => east_2_west(14)(12), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
1110South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1111
1112Arbiter_15_2 : Arbiter
1113
1114PORT MAP (Request => Request(14), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
1115South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1116
1117Arbiter_15_3 : Arbiter
1118
1119PORT MAP (Request => Request(3), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
1120South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1121
1122Arbiter_15_4 : Arbiter
1123
1124PORT MAP (Request => Request(136), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
1125South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1126
1127Arbiter_15_5 : Arbiter
1128
1129PORT MAP (Request => Request(125), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
1130South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1131
1132Arbiter_15_6 : Arbiter
1133
1134PORT MAP (Request => Request(114), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
1135South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1136
1137Arbiter_15_7 : Arbiter
1138
1139PORT MAP (Request => Request(103), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
1140South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1141
1142Arbiter_15_8 : Arbiter
1143
1144PORT MAP (Request => Request(92), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
1145South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1146
1147Arbiter_15_9 : Arbiter
1148
1149PORT MAP (Request => Request(81), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
1150South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1151
1152Arbiter_15_10 : Arbiter
1153
1154PORT MAP (Request => Request(70), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
1155South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1156
1157Arbiter_15_11 : Arbiter
1158
1159PORT MAP (Request => Request(59), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
1160South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1161
1162Arbiter_15_12 : Arbiter
1163
1164PORT MAP (Request => Request(48), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
1165South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1166
1167-------------------------- Diagonale n° 16
1168
1169
1170Arbiter_16_1 : Arbiter
1171
1172PORT MAP (Request => Request(37), North => south_2_north(15)(1), West => east_2_west(15)(12), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
1173South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1174
1175Arbiter_16_2 : Arbiter
1176
1177PORT MAP (Request => Request(26), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
1178South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1179
1180Arbiter_16_3 : Arbiter
1181
1182PORT MAP (Request => Request(15), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
1183South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1184
1185Arbiter_16_4 : Arbiter
1186
1187PORT MAP (Request => Request(4), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
1188South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1189
1190Arbiter_16_5 : Arbiter
1191
1192PORT MAP (Request => Request(137), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
1193South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1194
1195Arbiter_16_6 : Arbiter
1196
1197PORT MAP (Request => Request(126), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
1198South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1199
1200Arbiter_16_7 : Arbiter
1201
1202PORT MAP (Request => Request(115), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
1203South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1204
1205Arbiter_16_8 : Arbiter
1206
1207PORT MAP (Request => Request(104), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
1208South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1209
1210Arbiter_16_9 : Arbiter
1211
1212PORT MAP (Request => Request(93), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
1213South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1214
1215Arbiter_16_10 : Arbiter
1216
1217PORT MAP (Request => Request(82), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
1218South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1219
1220Arbiter_16_11 : Arbiter
1221
1222PORT MAP (Request => Request(71), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
1223South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1224
1225Arbiter_16_12 : Arbiter
1226
1227PORT MAP (Request => Request(60), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
1228South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1229
1230-------------------------- Diagonale n° 17
1231
1232
1233Arbiter_17_1 : Arbiter
1234
1235PORT MAP (Request => Request(49), North => south_2_north(16)(1), West => east_2_west(16)(12), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
1236South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1237
1238Arbiter_17_2 : Arbiter
1239
1240PORT MAP (Request => Request(38), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
1241South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1242
1243Arbiter_17_3 : Arbiter
1244
1245PORT MAP (Request => Request(27), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
1246South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1247
1248Arbiter_17_4 : Arbiter
1249
1250PORT MAP (Request => Request(16), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
1251South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1252
1253Arbiter_17_5 : Arbiter
1254
1255PORT MAP (Request => Request(5), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
1256South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1257
1258Arbiter_17_6 : Arbiter
1259
1260PORT MAP (Request => Request(138), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
1261South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1262
1263Arbiter_17_7 : Arbiter
1264
1265PORT MAP (Request => Request(127), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
1266South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1267
1268Arbiter_17_8 : Arbiter
1269
1270PORT MAP (Request => Request(116), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
1271South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1272
1273Arbiter_17_9 : Arbiter
1274
1275PORT MAP (Request => Request(105), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
1276South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1277
1278Arbiter_17_10 : Arbiter
1279
1280PORT MAP (Request => Request(94), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
1281South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1282
1283Arbiter_17_11 : Arbiter
1284
1285PORT MAP (Request => Request(83), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
1286South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1287
1288Arbiter_17_12 : Arbiter
1289
1290PORT MAP (Request => Request(72), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
1291South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1292
1293-------------------------- Diagonale n° 18
1294
1295
1296Arbiter_18_1 : Arbiter
1297
1298PORT MAP (Request => Request(61), North => south_2_north(17)(1), West => east_2_west(17)(12), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
1299South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1300
1301Arbiter_18_2 : Arbiter
1302
1303PORT MAP (Request => Request(50), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
1304South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1305
1306Arbiter_18_3 : Arbiter
1307
1308PORT MAP (Request => Request(39), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
1309South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1310
1311Arbiter_18_4 : Arbiter
1312
1313PORT MAP (Request => Request(28), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
1314South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1315
1316Arbiter_18_5 : Arbiter
1317
1318PORT MAP (Request => Request(17), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
1319South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1320
1321Arbiter_18_6 : Arbiter
1322
1323PORT MAP (Request => Request(6), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
1324South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1325
1326Arbiter_18_7 : Arbiter
1327
1328PORT MAP (Request => Request(139), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
1329South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1330
1331Arbiter_18_8 : Arbiter
1332
1333PORT MAP (Request => Request(128), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
1334South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1335
1336Arbiter_18_9 : Arbiter
1337
1338PORT MAP (Request => Request(117), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
1339South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1340
1341Arbiter_18_10 : Arbiter
1342
1343PORT MAP (Request => Request(106), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
1344South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1345
1346Arbiter_18_11 : Arbiter
1347
1348PORT MAP (Request => Request(95), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
1349South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1350
1351Arbiter_18_12 : Arbiter
1352
1353PORT MAP (Request => Request(84), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
1354South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1355
1356-------------------------- Diagonale n° 19
1357
1358
1359Arbiter_19_1 : Arbiter
1360
1361PORT MAP (Request => Request(73), North => south_2_north(18)(1), West => east_2_west(18)(12), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
1362South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1363
1364Arbiter_19_2 : Arbiter
1365
1366PORT MAP (Request => Request(62), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
1367South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1368
1369Arbiter_19_3 : Arbiter
1370
1371PORT MAP (Request => Request(51), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
1372South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1373
1374Arbiter_19_4 : Arbiter
1375
1376PORT MAP (Request => Request(40), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
1377South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1378
1379Arbiter_19_5 : Arbiter
1380
1381PORT MAP (Request => Request(29), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
1382South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1383
1384Arbiter_19_6 : Arbiter
1385
1386PORT MAP (Request => Request(18), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
1387South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1388
1389Arbiter_19_7 : Arbiter
1390
1391PORT MAP (Request => Request(7), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
1392South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1393
1394Arbiter_19_8 : Arbiter
1395
1396PORT MAP (Request => Request(140), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
1397South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1398
1399Arbiter_19_9 : Arbiter
1400
1401PORT MAP (Request => Request(129), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
1402South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1403
1404Arbiter_19_10 : Arbiter
1405
1406PORT MAP (Request => Request(118), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
1407South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1408
1409Arbiter_19_11 : Arbiter
1410
1411PORT MAP (Request => Request(107), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
1412South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1413
1414Arbiter_19_12 : Arbiter
1415
1416PORT MAP (Request => Request(96), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
1417South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1418
1419-------------------------- Diagonale n° 20
1420
1421
1422Arbiter_20_1 : Arbiter
1423
1424PORT MAP (Request => Request(85), North => south_2_north(19)(1), West => east_2_west(19)(12), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
1425South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1426
1427Arbiter_20_2 : Arbiter
1428
1429PORT MAP (Request => Request(74), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
1430South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1431
1432Arbiter_20_3 : Arbiter
1433
1434PORT MAP (Request => Request(63), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
1435South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1436
1437Arbiter_20_4 : Arbiter
1438
1439PORT MAP (Request => Request(52), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
1440South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1441
1442Arbiter_20_5 : Arbiter
1443
1444PORT MAP (Request => Request(41), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
1445South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1446
1447Arbiter_20_6 : Arbiter
1448
1449PORT MAP (Request => Request(30), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
1450South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1451
1452Arbiter_20_7 : Arbiter
1453
1454PORT MAP (Request => Request(19), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
1455South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1456
1457Arbiter_20_8 : Arbiter
1458
1459PORT MAP (Request => Request(8), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
1460South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1461
1462Arbiter_20_9 : Arbiter
1463
1464PORT MAP (Request => Request(141), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
1465South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1466
1467Arbiter_20_10 : Arbiter
1468
1469PORT MAP (Request => Request(130), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
1470South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1471
1472Arbiter_20_11 : Arbiter
1473
1474PORT MAP (Request => Request(119), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
1475South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1476
1477Arbiter_20_12 : Arbiter
1478
1479PORT MAP (Request => Request(108), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
1480South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1481
1482-------------------------- Diagonale n° 21
1483
1484
1485Arbiter_21_1 : Arbiter
1486
1487PORT MAP (Request => Request(97), North => south_2_north(20)(1), West => east_2_west(20)(12), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
1488South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
1489
1490Arbiter_21_2 : Arbiter
1491
1492PORT MAP (Request => Request(86), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
1493South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
1494
1495Arbiter_21_3 : Arbiter
1496
1497PORT MAP (Request => Request(75), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
1498South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
1499
1500Arbiter_21_4 : Arbiter
1501
1502PORT MAP (Request => Request(64), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
1503South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
1504
1505Arbiter_21_5 : Arbiter
1506
1507PORT MAP (Request => Request(53), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
1508South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
1509
1510Arbiter_21_6 : Arbiter
1511
1512PORT MAP (Request => Request(42), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
1513South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
1514
1515Arbiter_21_7 : Arbiter
1516
1517PORT MAP (Request => Request(31), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
1518South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
1519
1520Arbiter_21_8 : Arbiter
1521
1522PORT MAP (Request => Request(20), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
1523South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
1524
1525Arbiter_21_9 : Arbiter
1526
1527PORT MAP (Request => Request(9), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
1528South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
1529
1530Arbiter_21_10 : Arbiter
1531
1532PORT MAP (Request => Request(142), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
1533South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
1534
1535Arbiter_21_11 : Arbiter
1536
1537PORT MAP (Request => Request(131), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
1538South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
1539
1540Arbiter_21_12 : Arbiter
1541
1542PORT MAP (Request => Request(120), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
1543South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
1544
1545-------------------------- Diagonale n° 22
1546
1547
1548Arbiter_22_1 : Arbiter
1549
1550PORT MAP (Request => Request(109), North => south_2_north(21)(1), West => east_2_west(21)(12), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
1551South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
1552
1553Arbiter_22_2 : Arbiter
1554
1555PORT MAP (Request => Request(98), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
1556South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
1557
1558Arbiter_22_3 : Arbiter
1559
1560PORT MAP (Request => Request(87), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
1561South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
1562
1563Arbiter_22_4 : Arbiter
1564
1565PORT MAP (Request => Request(76), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
1566South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
1567
1568Arbiter_22_5 : Arbiter
1569
1570PORT MAP (Request => Request(65), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
1571South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
1572
1573Arbiter_22_6 : Arbiter
1574
1575PORT MAP (Request => Request(54), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
1576South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
1577
1578Arbiter_22_7 : Arbiter
1579
1580PORT MAP (Request => Request(43), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
1581South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
1582
1583Arbiter_22_8 : Arbiter
1584
1585PORT MAP (Request => Request(32), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
1586South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
1587
1588Arbiter_22_9 : Arbiter
1589
1590PORT MAP (Request => Request(21), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
1591South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
1592
1593Arbiter_22_10 : Arbiter
1594
1595PORT MAP (Request => Request(10), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
1596South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
1597
1598Arbiter_22_11 : Arbiter
1599
1600PORT MAP (Request => Request(143), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
1601South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
1602
1603Arbiter_22_12 : Arbiter
1604
1605PORT MAP (Request => Request(132), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
1606South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
1607
1608-------------------------- Diagonale n° 23
1609
1610
1611Arbiter_23_1 : Arbiter
1612
1613PORT MAP (Request => Request(121), North => south_2_north(22)(1), West => east_2_west(22)(12), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
1614South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
1615
1616Arbiter_23_2 : Arbiter
1617
1618PORT MAP (Request => Request(110), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
1619South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
1620
1621Arbiter_23_3 : Arbiter
1622
1623PORT MAP (Request => Request(99), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
1624South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
1625
1626Arbiter_23_4 : Arbiter
1627
1628PORT MAP (Request => Request(88), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
1629South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
1630
1631Arbiter_23_5 : Arbiter
1632
1633PORT MAP (Request => Request(77), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
1634South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
1635
1636Arbiter_23_6 : Arbiter
1637
1638PORT MAP (Request => Request(66), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
1639South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
1640
1641Arbiter_23_7 : Arbiter
1642
1643PORT MAP (Request => Request(55), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
1644South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
1645
1646Arbiter_23_8 : Arbiter
1647
1648PORT MAP (Request => Request(44), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
1649South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
1650
1651Arbiter_23_9 : Arbiter
1652
1653PORT MAP (Request => Request(33), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
1654South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
1655
1656Arbiter_23_10 : Arbiter
1657
1658PORT MAP (Request => Request(22), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
1659South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
1660
1661Arbiter_23_11 : Arbiter
1662
1663PORT MAP (Request => Request(11), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
1664South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
1665
1666Arbiter_23_12 : Arbiter
1667
1668PORT MAP (Request => Request(144), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
1669South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
1670
1671
1672--processus permettant de roter la priorité des diagonales à chaque front d'horloge
1673 -- rotation round robin
1674         round_robin : process(clk)
1675        begin
1676                if rising_edge(clk) then
1677                 if reset ='1' then
1678                    Signal_priority <= "11111111111100000000000";
1679                  elsif priority_rotation_en = '1' then
1680                    case Signal_priority is
1681                       when "11111111111100000000000" => Signal_priority <= "01111111111110000000000";
1682                       when "01111111111110000000000" => Signal_priority <= "00111111111111000000000";
1683                       when "00111111111111000000000" => Signal_priority <= "00011111111111100000000";
1684                       when "00011111111111100000000" => Signal_priority <= "00001111111111110000000";
1685                       when "00001111111111110000000" => Signal_priority <= "00000111111111111000000";
1686                       when "00000111111111111000000" => Signal_priority <= "00000011111111111100000";
1687                       when "00000011111111111100000" => Signal_priority <= "00000001111111111110000";
1688                       when "00000001111111111110000" => Signal_priority <= "00000000111111111111000";
1689                       when "00000000111111111111000" => Signal_priority <= "00000000011111111111100";
1690                       when "00000000011111111111100" => Signal_priority <= "00000000001111111111110";
1691                       when "00000000001111111111110" => Signal_priority <= "00000000000111111111111";
1692                       when "00000000000111111111111" => Signal_priority <= "11111111111100000000000";
1693                       when others    => Signal_priority <= "11111111111100000000000";
1694                  end case;
1695                 end if;
1696             end if;
1697         end process;
1698
1699end Behavioral;
1700
Note: See TracBrowser for help on using the repository browser.