1 | --------------------------------------------------------------------------------- |
---|
2 | -- Company: |
---|
3 | -- Engineer: KIEGAING EMMANUEL GEL EN 5 |
---|
4 | -- |
---|
5 | -- Create Date: 03:56:34 05/06/2011 |
---|
6 | -- Design Name: |
---|
7 | -- Module Name: Sheduler - Behavioral |
---|
8 | -- Project Name: |
---|
9 | -- Target Devices: |
---|
10 | -- Tool versions: |
---|
11 | -- Description: Module de l'ordonnanceur du switch crossbar |
---|
12 | -- l'algorithme utilisée est le DPA (diagonal propagation arbiter) |
---|
13 | -- |
---|
14 | -- Dependencies: |
---|
15 | -- |
---|
16 | -- Revision: |
---|
17 | -- Revision 0.01 - File Created |
---|
18 | -- Additional Comments: |
---|
19 | -- |
---|
20 | ---------------------------------------------------------------------------------- |
---|
21 | library IEEE; |
---|
22 | use IEEE.STD_LOGIC_1164.ALL; |
---|
23 | use IEEE.STD_LOGIC_ARITH.ALL; |
---|
24 | use IEEE.STD_LOGIC_UNSIGNED.ALL; |
---|
25 | --use Work.Sheduler_package.all; |
---|
26 | |
---|
27 | ---- Uncomment the following library declaration if instantiating |
---|
28 | ---- any Xilinx primitives in this code. |
---|
29 | --library UNISIM; |
---|
30 | --use UNISIM.VComponents.all; |
---|
31 | entity Scheduler13_13 is |
---|
32 | Port ( Request : in STD_LOGIC_VECTOR (169 downto 1); |
---|
33 | Fifo_full : in STD_LOGIC_VECTOR (13 downto 1); |
---|
34 | clk : in STD_LOGIC; |
---|
35 | reset : in STD_LOGIC; |
---|
36 | priority_rotation : in STD_LOGIC_VECTOR (13 downto 1); |
---|
37 | port_grant : out STD_LOGIC_VECTOR (169 downto 1)); |
---|
38 | end Scheduler13_13; |
---|
39 | |
---|
40 | architecture Behavioral of Scheduler13_13 is |
---|
41 | --Declaration du types |
---|
42 | --tableau de signaux de connexion des cellules arbitres |
---|
43 | TYPE C_Bar_Signal_Array IS ARRAY(25 downto 1) of STD_LOGIC_VECTOR(13 downto 1); |
---|
44 | -- declaration du composant cellule d'arbitrage |
---|
45 | Component Arbiter |
---|
46 | PORT (P, Fifo_full,Request, West,North : in STD_LOGIC; |
---|
47 | Grant,East,South : out STD_LOGIC ); |
---|
48 | End Component;--Signaux de connexion des cellues |
---|
49 | SIGNAL south_2_north : C_Bar_Signal_Array; -- connexion south north |
---|
50 | SIGNAL east_2_west : C_Bar_Signal_Array; -- connexion east west |
---|
51 | SIGNAL Signal_mask : C_Bar_Signal_Array;-- connexion des masques de priorité |
---|
52 | SIGNAL Signal_grant : C_Bar_Signal_Array;-- connexion des signaux de validation |
---|
53 | SIGNAL Signal_priority : STD_LOGIC_VECTOR (25 DOWNTO 1);--signal pour la connection des vecteur de priorité |
---|
54 | SIGNAL High : std_logic;--niveau pour les cellules des extremités nord et ouest |
---|
55 | signal grant_latch : std_logic_vector(169 downto 1); |
---|
56 | signal priority_rotation_en : std_logic; |
---|
57 | signal Grant : std_logic_vector(169 downto 1); |
---|
58 | begin |
---|
59 | |
---|
60 | --validation de la rotation de priorité lorsque aucun port n'emet |
---|
61 | priority_rotation_en <= '1' when unsigned(priority_rotation) = 8191 else '0'; |
---|
62 | --latch servant qui memorise le signal grant pendant a transmission |
---|
63 | grant_latch_process : process(clk) |
---|
64 | begin |
---|
65 | if rising_edge(clk) then |
---|
66 | if reset = '1' then |
---|
67 | grant_latch <= (others => '0'); |
---|
68 | elsif priority_rotation_en = '1' then |
---|
69 | grant_latch <= Grant; |
---|
70 | end if; |
---|
71 | end if; |
---|
72 | end process; |
---|
73 | port_grant <= Grant and grant_latch; |
---|
74 | Grant(1) <= Signal_grant(1)(1) or Signal_grant(14)(1); -- Grant(1,1) |
---|
75 | Grant(2) <= Signal_grant(2)(2) or Signal_grant(15)(2); -- Grant(1,2) |
---|
76 | Grant(3) <= Signal_grant(3)(3) or Signal_grant(16)(3); -- Grant(1,3) |
---|
77 | Grant(4) <= Signal_grant(4)(4) or Signal_grant(17)(4); -- Grant(1,4) |
---|
78 | Grant(5) <= Signal_grant(5)(5) or Signal_grant(18)(5); -- Grant(1,5) |
---|
79 | Grant(6) <= Signal_grant(6)(6) or Signal_grant(19)(6); -- Grant(1,6) |
---|
80 | Grant(7) <= Signal_grant(7)(7) or Signal_grant(20)(7); -- Grant(1,7) |
---|
81 | Grant(8) <= Signal_grant(8)(8) or Signal_grant(21)(8); -- Grant(1,8) |
---|
82 | Grant(9) <= Signal_grant(9)(9) or Signal_grant(22)(9); -- Grant(1,9) |
---|
83 | Grant(10) <= Signal_grant(10)(10) or Signal_grant(23)(10); -- Grant(1,10) |
---|
84 | Grant(11) <= Signal_grant(11)(11) or Signal_grant(24)(11); -- Grant(1,11) |
---|
85 | Grant(12) <= Signal_grant(12)(12) or Signal_grant(25)(12); -- Grant(1,12) |
---|
86 | Grant(13) <= Signal_grant(13)(13) ; -- Grant(1,13) |
---|
87 | Grant(14) <= Signal_grant(2)(1) or Signal_grant(15)(1); -- Grant(2,1) |
---|
88 | Grant(15) <= Signal_grant(3)(2) or Signal_grant(16)(2); -- Grant(2,2) |
---|
89 | Grant(16) <= Signal_grant(4)(3) or Signal_grant(17)(3); -- Grant(2,3) |
---|
90 | Grant(17) <= Signal_grant(5)(4) or Signal_grant(18)(4); -- Grant(2,4) |
---|
91 | Grant(18) <= Signal_grant(6)(5) or Signal_grant(19)(5); -- Grant(2,5) |
---|
92 | Grant(19) <= Signal_grant(7)(6) or Signal_grant(20)(6); -- Grant(2,6) |
---|
93 | Grant(20) <= Signal_grant(8)(7) or Signal_grant(21)(7); -- Grant(2,7) |
---|
94 | Grant(21) <= Signal_grant(9)(8) or Signal_grant(22)(8); -- Grant(2,8) |
---|
95 | Grant(22) <= Signal_grant(10)(9) or Signal_grant(23)(9); -- Grant(2,9) |
---|
96 | Grant(23) <= Signal_grant(11)(10) or Signal_grant(24)(10); -- Grant(2,10) |
---|
97 | Grant(24) <= Signal_grant(12)(11) or Signal_grant(25)(11); -- Grant(2,11) |
---|
98 | Grant(25) <= Signal_grant(13)(12) ; -- Grant(2,12) |
---|
99 | Grant(26) <= Signal_grant(1)(13) or Signal_grant(14)(13); -- Grant(2,13) |
---|
100 | Grant(27) <= Signal_grant(3)(1) or Signal_grant(16)(1); -- Grant(3,1) |
---|
101 | Grant(28) <= Signal_grant(4)(2) or Signal_grant(17)(2); -- Grant(3,2) |
---|
102 | Grant(29) <= Signal_grant(5)(3) or Signal_grant(18)(3); -- Grant(3,3) |
---|
103 | Grant(30) <= Signal_grant(6)(4) or Signal_grant(19)(4); -- Grant(3,4) |
---|
104 | Grant(31) <= Signal_grant(7)(5) or Signal_grant(20)(5); -- Grant(3,5) |
---|
105 | Grant(32) <= Signal_grant(8)(6) or Signal_grant(21)(6); -- Grant(3,6) |
---|
106 | Grant(33) <= Signal_grant(9)(7) or Signal_grant(22)(7); -- Grant(3,7) |
---|
107 | Grant(34) <= Signal_grant(10)(8) or Signal_grant(23)(8); -- Grant(3,8) |
---|
108 | Grant(35) <= Signal_grant(11)(9) or Signal_grant(24)(9); -- Grant(3,9) |
---|
109 | Grant(36) <= Signal_grant(12)(10) or Signal_grant(25)(10); -- Grant(3,10) |
---|
110 | Grant(37) <= Signal_grant(13)(11) ; -- Grant(3,11) |
---|
111 | Grant(38) <= Signal_grant(1)(12) or Signal_grant(14)(12); -- Grant(3,12) |
---|
112 | Grant(39) <= Signal_grant(2)(13) or Signal_grant(15)(13); -- Grant(3,13) |
---|
113 | Grant(40) <= Signal_grant(4)(1) or Signal_grant(17)(1); -- Grant(4,1) |
---|
114 | Grant(41) <= Signal_grant(5)(2) or Signal_grant(18)(2); -- Grant(4,2) |
---|
115 | Grant(42) <= Signal_grant(6)(3) or Signal_grant(19)(3); -- Grant(4,3) |
---|
116 | Grant(43) <= Signal_grant(7)(4) or Signal_grant(20)(4); -- Grant(4,4) |
---|
117 | Grant(44) <= Signal_grant(8)(5) or Signal_grant(21)(5); -- Grant(4,5) |
---|
118 | Grant(45) <= Signal_grant(9)(6) or Signal_grant(22)(6); -- Grant(4,6) |
---|
119 | Grant(46) <= Signal_grant(10)(7) or Signal_grant(23)(7); -- Grant(4,7) |
---|
120 | Grant(47) <= Signal_grant(11)(8) or Signal_grant(24)(8); -- Grant(4,8) |
---|
121 | Grant(48) <= Signal_grant(12)(9) or Signal_grant(25)(9); -- Grant(4,9) |
---|
122 | Grant(49) <= Signal_grant(13)(10) ; -- Grant(4,10) |
---|
123 | Grant(50) <= Signal_grant(1)(11) or Signal_grant(14)(11); -- Grant(4,11) |
---|
124 | Grant(51) <= Signal_grant(2)(12) or Signal_grant(15)(12); -- Grant(4,12) |
---|
125 | Grant(52) <= Signal_grant(3)(13) or Signal_grant(16)(13); -- Grant(4,13) |
---|
126 | Grant(53) <= Signal_grant(5)(1) or Signal_grant(18)(1); -- Grant(5,1) |
---|
127 | Grant(54) <= Signal_grant(6)(2) or Signal_grant(19)(2); -- Grant(5,2) |
---|
128 | Grant(55) <= Signal_grant(7)(3) or Signal_grant(20)(3); -- Grant(5,3) |
---|
129 | Grant(56) <= Signal_grant(8)(4) or Signal_grant(21)(4); -- Grant(5,4) |
---|
130 | Grant(57) <= Signal_grant(9)(5) or Signal_grant(22)(5); -- Grant(5,5) |
---|
131 | Grant(58) <= Signal_grant(10)(6) or Signal_grant(23)(6); -- Grant(5,6) |
---|
132 | Grant(59) <= Signal_grant(11)(7) or Signal_grant(24)(7); -- Grant(5,7) |
---|
133 | Grant(60) <= Signal_grant(12)(8) or Signal_grant(25)(8); -- Grant(5,8) |
---|
134 | Grant(61) <= Signal_grant(13)(9) ; -- Grant(5,9) |
---|
135 | Grant(62) <= Signal_grant(1)(10) or Signal_grant(14)(10); -- Grant(5,10) |
---|
136 | Grant(63) <= Signal_grant(2)(11) or Signal_grant(15)(11); -- Grant(5,11) |
---|
137 | Grant(64) <= Signal_grant(3)(12) or Signal_grant(16)(12); -- Grant(5,12) |
---|
138 | Grant(65) <= Signal_grant(4)(13) or Signal_grant(17)(13); -- Grant(5,13) |
---|
139 | Grant(66) <= Signal_grant(6)(1) or Signal_grant(19)(1); -- Grant(6,1) |
---|
140 | Grant(67) <= Signal_grant(7)(2) or Signal_grant(20)(2); -- Grant(6,2) |
---|
141 | Grant(68) <= Signal_grant(8)(3) or Signal_grant(21)(3); -- Grant(6,3) |
---|
142 | Grant(69) <= Signal_grant(9)(4) or Signal_grant(22)(4); -- Grant(6,4) |
---|
143 | Grant(70) <= Signal_grant(10)(5) or Signal_grant(23)(5); -- Grant(6,5) |
---|
144 | Grant(71) <= Signal_grant(11)(6) or Signal_grant(24)(6); -- Grant(6,6) |
---|
145 | Grant(72) <= Signal_grant(12)(7) or Signal_grant(25)(7); -- Grant(6,7) |
---|
146 | Grant(73) <= Signal_grant(13)(8) ; -- Grant(6,8) |
---|
147 | Grant(74) <= Signal_grant(1)(9) or Signal_grant(14)(9); -- Grant(6,9) |
---|
148 | Grant(75) <= Signal_grant(2)(10) or Signal_grant(15)(10); -- Grant(6,10) |
---|
149 | Grant(76) <= Signal_grant(3)(11) or Signal_grant(16)(11); -- Grant(6,11) |
---|
150 | Grant(77) <= Signal_grant(4)(12) or Signal_grant(17)(12); -- Grant(6,12) |
---|
151 | Grant(78) <= Signal_grant(5)(13) or Signal_grant(18)(13); -- Grant(6,13) |
---|
152 | Grant(79) <= Signal_grant(7)(1) or Signal_grant(20)(1); -- Grant(7,1) |
---|
153 | Grant(80) <= Signal_grant(8)(2) or Signal_grant(21)(2); -- Grant(7,2) |
---|
154 | Grant(81) <= Signal_grant(9)(3) or Signal_grant(22)(3); -- Grant(7,3) |
---|
155 | Grant(82) <= Signal_grant(10)(4) or Signal_grant(23)(4); -- Grant(7,4) |
---|
156 | Grant(83) <= Signal_grant(11)(5) or Signal_grant(24)(5); -- Grant(7,5) |
---|
157 | Grant(84) <= Signal_grant(12)(6) or Signal_grant(25)(6); -- Grant(7,6) |
---|
158 | Grant(85) <= Signal_grant(13)(7) ; -- Grant(7,7) |
---|
159 | Grant(86) <= Signal_grant(1)(8) or Signal_grant(14)(8); -- Grant(7,8) |
---|
160 | Grant(87) <= Signal_grant(2)(9) or Signal_grant(15)(9); -- Grant(7,9) |
---|
161 | Grant(88) <= Signal_grant(3)(10) or Signal_grant(16)(10); -- Grant(7,10) |
---|
162 | Grant(89) <= Signal_grant(4)(11) or Signal_grant(17)(11); -- Grant(7,11) |
---|
163 | Grant(90) <= Signal_grant(5)(12) or Signal_grant(18)(12); -- Grant(7,12) |
---|
164 | Grant(91) <= Signal_grant(6)(13) or Signal_grant(19)(13); -- Grant(7,13) |
---|
165 | Grant(92) <= Signal_grant(8)(1) or Signal_grant(21)(1); -- Grant(8,1) |
---|
166 | Grant(93) <= Signal_grant(9)(2) or Signal_grant(22)(2); -- Grant(8,2) |
---|
167 | Grant(94) <= Signal_grant(10)(3) or Signal_grant(23)(3); -- Grant(8,3) |
---|
168 | Grant(95) <= Signal_grant(11)(4) or Signal_grant(24)(4); -- Grant(8,4) |
---|
169 | Grant(96) <= Signal_grant(12)(5) or Signal_grant(25)(5); -- Grant(8,5) |
---|
170 | Grant(97) <= Signal_grant(13)(6) ; -- Grant(8,6) |
---|
171 | Grant(98) <= Signal_grant(1)(7) or Signal_grant(14)(7); -- Grant(8,7) |
---|
172 | Grant(99) <= Signal_grant(2)(8) or Signal_grant(15)(8); -- Grant(8,8) |
---|
173 | Grant(100) <= Signal_grant(3)(9) or Signal_grant(16)(9); -- Grant(8,9) |
---|
174 | Grant(101) <= Signal_grant(4)(10) or Signal_grant(17)(10); -- Grant(8,10) |
---|
175 | Grant(102) <= Signal_grant(5)(11) or Signal_grant(18)(11); -- Grant(8,11) |
---|
176 | Grant(103) <= Signal_grant(6)(12) or Signal_grant(19)(12); -- Grant(8,12) |
---|
177 | Grant(104) <= Signal_grant(7)(13) or Signal_grant(20)(13); -- Grant(8,13) |
---|
178 | Grant(105) <= Signal_grant(9)(1) or Signal_grant(22)(1); -- Grant(9,1) |
---|
179 | Grant(106) <= Signal_grant(10)(2) or Signal_grant(23)(2); -- Grant(9,2) |
---|
180 | Grant(107) <= Signal_grant(11)(3) or Signal_grant(24)(3); -- Grant(9,3) |
---|
181 | Grant(108) <= Signal_grant(12)(4) or Signal_grant(25)(4); -- Grant(9,4) |
---|
182 | Grant(109) <= Signal_grant(13)(5) ; -- Grant(9,5) |
---|
183 | Grant(110) <= Signal_grant(1)(6) or Signal_grant(14)(6); -- Grant(9,6) |
---|
184 | Grant(111) <= Signal_grant(2)(7) or Signal_grant(15)(7); -- Grant(9,7) |
---|
185 | Grant(112) <= Signal_grant(3)(8) or Signal_grant(16)(8); -- Grant(9,8) |
---|
186 | Grant(113) <= Signal_grant(4)(9) or Signal_grant(17)(9); -- Grant(9,9) |
---|
187 | Grant(114) <= Signal_grant(5)(10) or Signal_grant(18)(10); -- Grant(9,10) |
---|
188 | Grant(115) <= Signal_grant(6)(11) or Signal_grant(19)(11); -- Grant(9,11) |
---|
189 | Grant(116) <= Signal_grant(7)(12) or Signal_grant(20)(12); -- Grant(9,12) |
---|
190 | Grant(117) <= Signal_grant(8)(13) or Signal_grant(21)(13); -- Grant(9,13) |
---|
191 | Grant(118) <= Signal_grant(10)(1) or Signal_grant(23)(1); -- Grant(10,1) |
---|
192 | Grant(119) <= Signal_grant(11)(2) or Signal_grant(24)(2); -- Grant(10,2) |
---|
193 | Grant(120) <= Signal_grant(12)(3) or Signal_grant(25)(3); -- Grant(10,3) |
---|
194 | Grant(121) <= Signal_grant(13)(4) ; -- Grant(10,4) |
---|
195 | Grant(122) <= Signal_grant(1)(5) or Signal_grant(14)(5); -- Grant(10,5) |
---|
196 | Grant(123) <= Signal_grant(2)(6) or Signal_grant(15)(6); -- Grant(10,6) |
---|
197 | Grant(124) <= Signal_grant(3)(7) or Signal_grant(16)(7); -- Grant(10,7) |
---|
198 | Grant(125) <= Signal_grant(4)(8) or Signal_grant(17)(8); -- Grant(10,8) |
---|
199 | Grant(126) <= Signal_grant(5)(9) or Signal_grant(18)(9); -- Grant(10,9) |
---|
200 | Grant(127) <= Signal_grant(6)(10) or Signal_grant(19)(10); -- Grant(10,10) |
---|
201 | Grant(128) <= Signal_grant(7)(11) or Signal_grant(20)(11); -- Grant(10,11) |
---|
202 | Grant(129) <= Signal_grant(8)(12) or Signal_grant(21)(12); -- Grant(10,12) |
---|
203 | Grant(130) <= Signal_grant(9)(13) or Signal_grant(22)(13); -- Grant(10,13) |
---|
204 | Grant(131) <= Signal_grant(11)(1) or Signal_grant(24)(1); -- Grant(11,1) |
---|
205 | Grant(132) <= Signal_grant(12)(2) or Signal_grant(25)(2); -- Grant(11,2) |
---|
206 | Grant(133) <= Signal_grant(13)(3) ; -- Grant(11,3) |
---|
207 | Grant(134) <= Signal_grant(1)(4) or Signal_grant(14)(4); -- Grant(11,4) |
---|
208 | Grant(135) <= Signal_grant(2)(5) or Signal_grant(15)(5); -- Grant(11,5) |
---|
209 | Grant(136) <= Signal_grant(3)(6) or Signal_grant(16)(6); -- Grant(11,6) |
---|
210 | Grant(137) <= Signal_grant(4)(7) or Signal_grant(17)(7); -- Grant(11,7) |
---|
211 | Grant(138) <= Signal_grant(5)(8) or Signal_grant(18)(8); -- Grant(11,8) |
---|
212 | Grant(139) <= Signal_grant(6)(9) or Signal_grant(19)(9); -- Grant(11,9) |
---|
213 | Grant(140) <= Signal_grant(7)(10) or Signal_grant(20)(10); -- Grant(11,10) |
---|
214 | Grant(141) <= Signal_grant(8)(11) or Signal_grant(21)(11); -- Grant(11,11) |
---|
215 | Grant(142) <= Signal_grant(9)(12) or Signal_grant(22)(12); -- Grant(11,12) |
---|
216 | Grant(143) <= Signal_grant(10)(13) or Signal_grant(23)(13); -- Grant(11,13) |
---|
217 | Grant(144) <= Signal_grant(12)(1) or Signal_grant(25)(1); -- Grant(12,1) |
---|
218 | Grant(145) <= Signal_grant(13)(2) ; -- Grant(12,2) |
---|
219 | Grant(146) <= Signal_grant(1)(3) or Signal_grant(14)(3); -- Grant(12,3) |
---|
220 | Grant(147) <= Signal_grant(2)(4) or Signal_grant(15)(4); -- Grant(12,4) |
---|
221 | Grant(148) <= Signal_grant(3)(5) or Signal_grant(16)(5); -- Grant(12,5) |
---|
222 | Grant(149) <= Signal_grant(4)(6) or Signal_grant(17)(6); -- Grant(12,6) |
---|
223 | Grant(150) <= Signal_grant(5)(7) or Signal_grant(18)(7); -- Grant(12,7) |
---|
224 | Grant(151) <= Signal_grant(6)(8) or Signal_grant(19)(8); -- Grant(12,8) |
---|
225 | Grant(152) <= Signal_grant(7)(9) or Signal_grant(20)(9); -- Grant(12,9) |
---|
226 | Grant(153) <= Signal_grant(8)(10) or Signal_grant(21)(10); -- Grant(12,10) |
---|
227 | Grant(154) <= Signal_grant(9)(11) or Signal_grant(22)(11); -- Grant(12,11) |
---|
228 | Grant(155) <= Signal_grant(10)(12) or Signal_grant(23)(12); -- Grant(12,12) |
---|
229 | Grant(156) <= Signal_grant(11)(13) or Signal_grant(24)(13); -- Grant(12,13) |
---|
230 | Grant(157) <= Signal_grant(13)(1) ; -- Grant(13,1) |
---|
231 | Grant(158) <= Signal_grant(1)(2) or Signal_grant(14)(2); -- Grant(13,2) |
---|
232 | Grant(159) <= Signal_grant(2)(3) or Signal_grant(15)(3); -- Grant(13,3) |
---|
233 | Grant(160) <= Signal_grant(3)(4) or Signal_grant(16)(4); -- Grant(13,4) |
---|
234 | Grant(161) <= Signal_grant(4)(5) or Signal_grant(17)(5); -- Grant(13,5) |
---|
235 | Grant(162) <= Signal_grant(5)(6) or Signal_grant(18)(6); -- Grant(13,6) |
---|
236 | Grant(163) <= Signal_grant(6)(7) or Signal_grant(19)(7); -- Grant(13,7) |
---|
237 | Grant(164) <= Signal_grant(7)(8) or Signal_grant(20)(8); -- Grant(13,8) |
---|
238 | Grant(165) <= Signal_grant(8)(9) or Signal_grant(21)(9); -- Grant(13,9) |
---|
239 | Grant(166) <= Signal_grant(9)(10) or Signal_grant(22)(10); -- Grant(13,10) |
---|
240 | Grant(167) <= Signal_grant(10)(11) or Signal_grant(23)(11); -- Grant(13,11) |
---|
241 | Grant(168) <= Signal_grant(11)(12) or Signal_grant(24)(12); -- Grant(13,12) |
---|
242 | Grant(169) <= Signal_grant(12)(13) or Signal_grant(25)(13); -- Grant(13,13) |
---|
243 | High <= '1'; |
---|
244 | |
---|
245 | ----instantiations des cellules arbitres et interconnection |
---|
246 | |
---|
247 | -------------------------- Diagonale n° 1 |
---|
248 | |
---|
249 | |
---|
250 | Arbiter_1_1 : Arbiter |
---|
251 | |
---|
252 | PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(1), |
---|
253 | South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1)); |
---|
254 | |
---|
255 | Arbiter_1_2 : Arbiter |
---|
256 | |
---|
257 | PORT MAP (Request => Request(158), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(2), |
---|
258 | South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2)); |
---|
259 | |
---|
260 | Arbiter_1_3 : Arbiter |
---|
261 | |
---|
262 | PORT MAP (Request => Request(146), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(3), |
---|
263 | South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3)); |
---|
264 | |
---|
265 | Arbiter_1_4 : Arbiter |
---|
266 | |
---|
267 | PORT MAP (Request => Request(134), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(4), |
---|
268 | South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4)); |
---|
269 | |
---|
270 | Arbiter_1_5 : Arbiter |
---|
271 | |
---|
272 | PORT MAP (Request => Request(122), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(5), |
---|
273 | South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5)); |
---|
274 | |
---|
275 | Arbiter_1_6 : Arbiter |
---|
276 | |
---|
277 | PORT MAP (Request => Request(110), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(6), |
---|
278 | South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6)); |
---|
279 | |
---|
280 | Arbiter_1_7 : Arbiter |
---|
281 | |
---|
282 | PORT MAP (Request => Request(98), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(7), |
---|
283 | South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7)); |
---|
284 | |
---|
285 | Arbiter_1_8 : Arbiter |
---|
286 | |
---|
287 | PORT MAP (Request => Request(86), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(8), |
---|
288 | South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8)); |
---|
289 | |
---|
290 | Arbiter_1_9 : Arbiter |
---|
291 | |
---|
292 | PORT MAP (Request => Request(74), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(9), |
---|
293 | South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9)); |
---|
294 | |
---|
295 | Arbiter_1_10 : Arbiter |
---|
296 | |
---|
297 | PORT MAP (Request => Request(62), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(10), |
---|
298 | South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10)); |
---|
299 | |
---|
300 | Arbiter_1_11 : Arbiter |
---|
301 | |
---|
302 | PORT MAP (Request => Request(50), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(11), |
---|
303 | South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11)); |
---|
304 | |
---|
305 | Arbiter_1_12 : Arbiter |
---|
306 | |
---|
307 | PORT MAP (Request => Request(38), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(12), |
---|
308 | South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12)); |
---|
309 | |
---|
310 | Arbiter_1_13 : Arbiter |
---|
311 | |
---|
312 | PORT MAP (Request => Request(26), North => High, West => High, P => Signal_priority(25), Fifo_full => Fifo_full(13), |
---|
313 | South => south_2_north(1)(13), East => east_2_west(1)(13) , Grant => Signal_grant(1)(13)); |
---|
314 | |
---|
315 | -------------------------- Diagonale n° 2 |
---|
316 | |
---|
317 | |
---|
318 | Arbiter_2_1 : Arbiter |
---|
319 | |
---|
320 | PORT MAP (Request => Request(14), North => south_2_north(1)(1), West => east_2_west(1)(13), P => Signal_priority(24), Fifo_full => Fifo_full(1), |
---|
321 | South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1)); |
---|
322 | |
---|
323 | Arbiter_2_2 : Arbiter |
---|
324 | |
---|
325 | PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(24), Fifo_full => Fifo_full(2), |
---|
326 | South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2)); |
---|
327 | |
---|
328 | Arbiter_2_3 : Arbiter |
---|
329 | |
---|
330 | PORT MAP (Request => Request(159), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(24), Fifo_full => Fifo_full(3), |
---|
331 | South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3)); |
---|
332 | |
---|
333 | Arbiter_2_4 : Arbiter |
---|
334 | |
---|
335 | PORT MAP (Request => Request(147), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(24), Fifo_full => Fifo_full(4), |
---|
336 | South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4)); |
---|
337 | |
---|
338 | Arbiter_2_5 : Arbiter |
---|
339 | |
---|
340 | PORT MAP (Request => Request(135), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(24), Fifo_full => Fifo_full(5), |
---|
341 | South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5)); |
---|
342 | |
---|
343 | Arbiter_2_6 : Arbiter |
---|
344 | |
---|
345 | PORT MAP (Request => Request(123), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(24), Fifo_full => Fifo_full(6), |
---|
346 | South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6)); |
---|
347 | |
---|
348 | Arbiter_2_7 : Arbiter |
---|
349 | |
---|
350 | PORT MAP (Request => Request(111), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(24), Fifo_full => Fifo_full(7), |
---|
351 | South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7)); |
---|
352 | |
---|
353 | Arbiter_2_8 : Arbiter |
---|
354 | |
---|
355 | PORT MAP (Request => Request(99), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(24), Fifo_full => Fifo_full(8), |
---|
356 | South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8)); |
---|
357 | |
---|
358 | Arbiter_2_9 : Arbiter |
---|
359 | |
---|
360 | PORT MAP (Request => Request(87), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(24), Fifo_full => Fifo_full(9), |
---|
361 | South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9)); |
---|
362 | |
---|
363 | Arbiter_2_10 : Arbiter |
---|
364 | |
---|
365 | PORT MAP (Request => Request(75), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(24), Fifo_full => Fifo_full(10), |
---|
366 | South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10)); |
---|
367 | |
---|
368 | Arbiter_2_11 : Arbiter |
---|
369 | |
---|
370 | PORT MAP (Request => Request(63), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(24), Fifo_full => Fifo_full(11), |
---|
371 | South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11)); |
---|
372 | |
---|
373 | Arbiter_2_12 : Arbiter |
---|
374 | |
---|
375 | PORT MAP (Request => Request(51), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(24), Fifo_full => Fifo_full(12), |
---|
376 | South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12)); |
---|
377 | |
---|
378 | Arbiter_2_13 : Arbiter |
---|
379 | |
---|
380 | PORT MAP (Request => Request(39), North => south_2_north(1)(13), West => east_2_west(1)(12), P => Signal_priority(24), Fifo_full => Fifo_full(13), |
---|
381 | South => south_2_north(2)(13), East => east_2_west(2)(13) , Grant => Signal_grant(2)(13)); |
---|
382 | |
---|
383 | -------------------------- Diagonale n° 3 |
---|
384 | |
---|
385 | |
---|
386 | Arbiter_3_1 : Arbiter |
---|
387 | |
---|
388 | PORT MAP (Request => Request(27), North => south_2_north(2)(1), West => east_2_west(2)(13), P => Signal_priority(23), Fifo_full => Fifo_full(1), |
---|
389 | South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1)); |
---|
390 | |
---|
391 | Arbiter_3_2 : Arbiter |
---|
392 | |
---|
393 | PORT MAP (Request => Request(15), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(23), Fifo_full => Fifo_full(2), |
---|
394 | South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2)); |
---|
395 | |
---|
396 | Arbiter_3_3 : Arbiter |
---|
397 | |
---|
398 | PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(23), Fifo_full => Fifo_full(3), |
---|
399 | South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3)); |
---|
400 | |
---|
401 | Arbiter_3_4 : Arbiter |
---|
402 | |
---|
403 | PORT MAP (Request => Request(160), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(23), Fifo_full => Fifo_full(4), |
---|
404 | South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4)); |
---|
405 | |
---|
406 | Arbiter_3_5 : Arbiter |
---|
407 | |
---|
408 | PORT MAP (Request => Request(148), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(23), Fifo_full => Fifo_full(5), |
---|
409 | South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5)); |
---|
410 | |
---|
411 | Arbiter_3_6 : Arbiter |
---|
412 | |
---|
413 | PORT MAP (Request => Request(136), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(23), Fifo_full => Fifo_full(6), |
---|
414 | South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6)); |
---|
415 | |
---|
416 | Arbiter_3_7 : Arbiter |
---|
417 | |
---|
418 | PORT MAP (Request => Request(124), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(23), Fifo_full => Fifo_full(7), |
---|
419 | South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7)); |
---|
420 | |
---|
421 | Arbiter_3_8 : Arbiter |
---|
422 | |
---|
423 | PORT MAP (Request => Request(112), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(23), Fifo_full => Fifo_full(8), |
---|
424 | South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8)); |
---|
425 | |
---|
426 | Arbiter_3_9 : Arbiter |
---|
427 | |
---|
428 | PORT MAP (Request => Request(100), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(23), Fifo_full => Fifo_full(9), |
---|
429 | South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9)); |
---|
430 | |
---|
431 | Arbiter_3_10 : Arbiter |
---|
432 | |
---|
433 | PORT MAP (Request => Request(88), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(23), Fifo_full => Fifo_full(10), |
---|
434 | South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10)); |
---|
435 | |
---|
436 | Arbiter_3_11 : Arbiter |
---|
437 | |
---|
438 | PORT MAP (Request => Request(76), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(23), Fifo_full => Fifo_full(11), |
---|
439 | South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11)); |
---|
440 | |
---|
441 | Arbiter_3_12 : Arbiter |
---|
442 | |
---|
443 | PORT MAP (Request => Request(64), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(23), Fifo_full => Fifo_full(12), |
---|
444 | South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12)); |
---|
445 | |
---|
446 | Arbiter_3_13 : Arbiter |
---|
447 | |
---|
448 | PORT MAP (Request => Request(52), North => south_2_north(2)(13), West => east_2_west(2)(12), P => Signal_priority(23), Fifo_full => Fifo_full(13), |
---|
449 | South => south_2_north(3)(13), East => east_2_west(3)(13) , Grant => Signal_grant(3)(13)); |
---|
450 | |
---|
451 | -------------------------- Diagonale n° 4 |
---|
452 | |
---|
453 | |
---|
454 | Arbiter_4_1 : Arbiter |
---|
455 | |
---|
456 | PORT MAP (Request => Request(40), North => south_2_north(3)(1), West => east_2_west(3)(13), P => Signal_priority(22), Fifo_full => Fifo_full(1), |
---|
457 | South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1)); |
---|
458 | |
---|
459 | Arbiter_4_2 : Arbiter |
---|
460 | |
---|
461 | PORT MAP (Request => Request(28), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), |
---|
462 | South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2)); |
---|
463 | |
---|
464 | Arbiter_4_3 : Arbiter |
---|
465 | |
---|
466 | PORT MAP (Request => Request(16), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), |
---|
467 | South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3)); |
---|
468 | |
---|
469 | Arbiter_4_4 : Arbiter |
---|
470 | |
---|
471 | PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), |
---|
472 | South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4)); |
---|
473 | |
---|
474 | Arbiter_4_5 : Arbiter |
---|
475 | |
---|
476 | PORT MAP (Request => Request(161), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), |
---|
477 | South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5)); |
---|
478 | |
---|
479 | Arbiter_4_6 : Arbiter |
---|
480 | |
---|
481 | PORT MAP (Request => Request(149), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), |
---|
482 | South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6)); |
---|
483 | |
---|
484 | Arbiter_4_7 : Arbiter |
---|
485 | |
---|
486 | PORT MAP (Request => Request(137), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), |
---|
487 | South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7)); |
---|
488 | |
---|
489 | Arbiter_4_8 : Arbiter |
---|
490 | |
---|
491 | PORT MAP (Request => Request(125), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), |
---|
492 | South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8)); |
---|
493 | |
---|
494 | Arbiter_4_9 : Arbiter |
---|
495 | |
---|
496 | PORT MAP (Request => Request(113), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), |
---|
497 | South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9)); |
---|
498 | |
---|
499 | Arbiter_4_10 : Arbiter |
---|
500 | |
---|
501 | PORT MAP (Request => Request(101), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), |
---|
502 | South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10)); |
---|
503 | |
---|
504 | Arbiter_4_11 : Arbiter |
---|
505 | |
---|
506 | PORT MAP (Request => Request(89), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), |
---|
507 | South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11)); |
---|
508 | |
---|
509 | Arbiter_4_12 : Arbiter |
---|
510 | |
---|
511 | PORT MAP (Request => Request(77), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), |
---|
512 | South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12)); |
---|
513 | |
---|
514 | Arbiter_4_13 : Arbiter |
---|
515 | |
---|
516 | PORT MAP (Request => Request(65), North => south_2_north(3)(13), West => east_2_west(3)(12), P => Signal_priority(22), Fifo_full => Fifo_full(13), |
---|
517 | South => south_2_north(4)(13), East => east_2_west(4)(13) , Grant => Signal_grant(4)(13)); |
---|
518 | |
---|
519 | -------------------------- Diagonale n° 5 |
---|
520 | |
---|
521 | |
---|
522 | Arbiter_5_1 : Arbiter |
---|
523 | |
---|
524 | PORT MAP (Request => Request(53), North => south_2_north(4)(1), West => east_2_west(4)(13), P => Signal_priority(21), Fifo_full => Fifo_full(1), |
---|
525 | South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1)); |
---|
526 | |
---|
527 | Arbiter_5_2 : Arbiter |
---|
528 | |
---|
529 | PORT MAP (Request => Request(41), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), |
---|
530 | South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2)); |
---|
531 | |
---|
532 | Arbiter_5_3 : Arbiter |
---|
533 | |
---|
534 | PORT MAP (Request => Request(29), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), |
---|
535 | South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3)); |
---|
536 | |
---|
537 | Arbiter_5_4 : Arbiter |
---|
538 | |
---|
539 | PORT MAP (Request => Request(17), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), |
---|
540 | South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4)); |
---|
541 | |
---|
542 | Arbiter_5_5 : Arbiter |
---|
543 | |
---|
544 | PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), |
---|
545 | South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5)); |
---|
546 | |
---|
547 | Arbiter_5_6 : Arbiter |
---|
548 | |
---|
549 | PORT MAP (Request => Request(162), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), |
---|
550 | South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6)); |
---|
551 | |
---|
552 | Arbiter_5_7 : Arbiter |
---|
553 | |
---|
554 | PORT MAP (Request => Request(150), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), |
---|
555 | South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7)); |
---|
556 | |
---|
557 | Arbiter_5_8 : Arbiter |
---|
558 | |
---|
559 | PORT MAP (Request => Request(138), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), |
---|
560 | South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8)); |
---|
561 | |
---|
562 | Arbiter_5_9 : Arbiter |
---|
563 | |
---|
564 | PORT MAP (Request => Request(126), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), |
---|
565 | South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9)); |
---|
566 | |
---|
567 | Arbiter_5_10 : Arbiter |
---|
568 | |
---|
569 | PORT MAP (Request => Request(114), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), |
---|
570 | South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10)); |
---|
571 | |
---|
572 | Arbiter_5_11 : Arbiter |
---|
573 | |
---|
574 | PORT MAP (Request => Request(102), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), |
---|
575 | South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11)); |
---|
576 | |
---|
577 | Arbiter_5_12 : Arbiter |
---|
578 | |
---|
579 | PORT MAP (Request => Request(90), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), |
---|
580 | South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12)); |
---|
581 | |
---|
582 | Arbiter_5_13 : Arbiter |
---|
583 | |
---|
584 | PORT MAP (Request => Request(78), North => south_2_north(4)(13), West => east_2_west(4)(12), P => Signal_priority(21), Fifo_full => Fifo_full(13), |
---|
585 | South => south_2_north(5)(13), East => east_2_west(5)(13) , Grant => Signal_grant(5)(13)); |
---|
586 | |
---|
587 | -------------------------- Diagonale n° 6 |
---|
588 | |
---|
589 | |
---|
590 | Arbiter_6_1 : Arbiter |
---|
591 | |
---|
592 | PORT MAP (Request => Request(66), North => south_2_north(5)(1), West => east_2_west(5)(13), P => Signal_priority(20), Fifo_full => Fifo_full(1), |
---|
593 | South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1)); |
---|
594 | |
---|
595 | Arbiter_6_2 : Arbiter |
---|
596 | |
---|
597 | PORT MAP (Request => Request(54), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), |
---|
598 | South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2)); |
---|
599 | |
---|
600 | Arbiter_6_3 : Arbiter |
---|
601 | |
---|
602 | PORT MAP (Request => Request(42), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), |
---|
603 | South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3)); |
---|
604 | |
---|
605 | Arbiter_6_4 : Arbiter |
---|
606 | |
---|
607 | PORT MAP (Request => Request(30), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), |
---|
608 | South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4)); |
---|
609 | |
---|
610 | Arbiter_6_5 : Arbiter |
---|
611 | |
---|
612 | PORT MAP (Request => Request(18), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), |
---|
613 | South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5)); |
---|
614 | |
---|
615 | Arbiter_6_6 : Arbiter |
---|
616 | |
---|
617 | PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), |
---|
618 | South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6)); |
---|
619 | |
---|
620 | Arbiter_6_7 : Arbiter |
---|
621 | |
---|
622 | PORT MAP (Request => Request(163), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), |
---|
623 | South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7)); |
---|
624 | |
---|
625 | Arbiter_6_8 : Arbiter |
---|
626 | |
---|
627 | PORT MAP (Request => Request(151), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), |
---|
628 | South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8)); |
---|
629 | |
---|
630 | Arbiter_6_9 : Arbiter |
---|
631 | |
---|
632 | PORT MAP (Request => Request(139), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), |
---|
633 | South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9)); |
---|
634 | |
---|
635 | Arbiter_6_10 : Arbiter |
---|
636 | |
---|
637 | PORT MAP (Request => Request(127), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), |
---|
638 | South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10)); |
---|
639 | |
---|
640 | Arbiter_6_11 : Arbiter |
---|
641 | |
---|
642 | PORT MAP (Request => Request(115), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), |
---|
643 | South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11)); |
---|
644 | |
---|
645 | Arbiter_6_12 : Arbiter |
---|
646 | |
---|
647 | PORT MAP (Request => Request(103), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), |
---|
648 | South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12)); |
---|
649 | |
---|
650 | Arbiter_6_13 : Arbiter |
---|
651 | |
---|
652 | PORT MAP (Request => Request(91), North => south_2_north(5)(13), West => east_2_west(5)(12), P => Signal_priority(20), Fifo_full => Fifo_full(13), |
---|
653 | South => south_2_north(6)(13), East => east_2_west(6)(13) , Grant => Signal_grant(6)(13)); |
---|
654 | |
---|
655 | -------------------------- Diagonale n° 7 |
---|
656 | |
---|
657 | |
---|
658 | Arbiter_7_1 : Arbiter |
---|
659 | |
---|
660 | PORT MAP (Request => Request(79), North => south_2_north(6)(1), West => east_2_west(6)(13), P => Signal_priority(19), Fifo_full => Fifo_full(1), |
---|
661 | South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1)); |
---|
662 | |
---|
663 | Arbiter_7_2 : Arbiter |
---|
664 | |
---|
665 | PORT MAP (Request => Request(67), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), |
---|
666 | South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2)); |
---|
667 | |
---|
668 | Arbiter_7_3 : Arbiter |
---|
669 | |
---|
670 | PORT MAP (Request => Request(55), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), |
---|
671 | South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3)); |
---|
672 | |
---|
673 | Arbiter_7_4 : Arbiter |
---|
674 | |
---|
675 | PORT MAP (Request => Request(43), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), |
---|
676 | South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4)); |
---|
677 | |
---|
678 | Arbiter_7_5 : Arbiter |
---|
679 | |
---|
680 | PORT MAP (Request => Request(31), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), |
---|
681 | South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5)); |
---|
682 | |
---|
683 | Arbiter_7_6 : Arbiter |
---|
684 | |
---|
685 | PORT MAP (Request => Request(19), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), |
---|
686 | South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6)); |
---|
687 | |
---|
688 | Arbiter_7_7 : Arbiter |
---|
689 | |
---|
690 | PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), |
---|
691 | South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7)); |
---|
692 | |
---|
693 | Arbiter_7_8 : Arbiter |
---|
694 | |
---|
695 | PORT MAP (Request => Request(164), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), |
---|
696 | South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8)); |
---|
697 | |
---|
698 | Arbiter_7_9 : Arbiter |
---|
699 | |
---|
700 | PORT MAP (Request => Request(152), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), |
---|
701 | South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9)); |
---|
702 | |
---|
703 | Arbiter_7_10 : Arbiter |
---|
704 | |
---|
705 | PORT MAP (Request => Request(140), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), |
---|
706 | South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10)); |
---|
707 | |
---|
708 | Arbiter_7_11 : Arbiter |
---|
709 | |
---|
710 | PORT MAP (Request => Request(128), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), |
---|
711 | South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11)); |
---|
712 | |
---|
713 | Arbiter_7_12 : Arbiter |
---|
714 | |
---|
715 | PORT MAP (Request => Request(116), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), |
---|
716 | South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12)); |
---|
717 | |
---|
718 | Arbiter_7_13 : Arbiter |
---|
719 | |
---|
720 | PORT MAP (Request => Request(104), North => south_2_north(6)(13), West => east_2_west(6)(12), P => Signal_priority(19), Fifo_full => Fifo_full(13), |
---|
721 | South => south_2_north(7)(13), East => east_2_west(7)(13) , Grant => Signal_grant(7)(13)); |
---|
722 | |
---|
723 | -------------------------- Diagonale n° 8 |
---|
724 | |
---|
725 | |
---|
726 | Arbiter_8_1 : Arbiter |
---|
727 | |
---|
728 | PORT MAP (Request => Request(92), North => south_2_north(7)(1), West => east_2_west(7)(13), P => Signal_priority(18), Fifo_full => Fifo_full(1), |
---|
729 | South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1)); |
---|
730 | |
---|
731 | Arbiter_8_2 : Arbiter |
---|
732 | |
---|
733 | PORT MAP (Request => Request(80), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), |
---|
734 | South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2)); |
---|
735 | |
---|
736 | Arbiter_8_3 : Arbiter |
---|
737 | |
---|
738 | PORT MAP (Request => Request(68), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), |
---|
739 | South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3)); |
---|
740 | |
---|
741 | Arbiter_8_4 : Arbiter |
---|
742 | |
---|
743 | PORT MAP (Request => Request(56), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), |
---|
744 | South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4)); |
---|
745 | |
---|
746 | Arbiter_8_5 : Arbiter |
---|
747 | |
---|
748 | PORT MAP (Request => Request(44), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), |
---|
749 | South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5)); |
---|
750 | |
---|
751 | Arbiter_8_6 : Arbiter |
---|
752 | |
---|
753 | PORT MAP (Request => Request(32), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), |
---|
754 | South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6)); |
---|
755 | |
---|
756 | Arbiter_8_7 : Arbiter |
---|
757 | |
---|
758 | PORT MAP (Request => Request(20), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), |
---|
759 | South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7)); |
---|
760 | |
---|
761 | Arbiter_8_8 : Arbiter |
---|
762 | |
---|
763 | PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), |
---|
764 | South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8)); |
---|
765 | |
---|
766 | Arbiter_8_9 : Arbiter |
---|
767 | |
---|
768 | PORT MAP (Request => Request(165), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), |
---|
769 | South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9)); |
---|
770 | |
---|
771 | Arbiter_8_10 : Arbiter |
---|
772 | |
---|
773 | PORT MAP (Request => Request(153), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), |
---|
774 | South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10)); |
---|
775 | |
---|
776 | Arbiter_8_11 : Arbiter |
---|
777 | |
---|
778 | PORT MAP (Request => Request(141), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), |
---|
779 | South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11)); |
---|
780 | |
---|
781 | Arbiter_8_12 : Arbiter |
---|
782 | |
---|
783 | PORT MAP (Request => Request(129), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), |
---|
784 | South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12)); |
---|
785 | |
---|
786 | Arbiter_8_13 : Arbiter |
---|
787 | |
---|
788 | PORT MAP (Request => Request(117), North => south_2_north(7)(13), West => east_2_west(7)(12), P => Signal_priority(18), Fifo_full => Fifo_full(13), |
---|
789 | South => south_2_north(8)(13), East => east_2_west(8)(13) , Grant => Signal_grant(8)(13)); |
---|
790 | |
---|
791 | -------------------------- Diagonale n° 9 |
---|
792 | |
---|
793 | |
---|
794 | Arbiter_9_1 : Arbiter |
---|
795 | |
---|
796 | PORT MAP (Request => Request(105), North => south_2_north(8)(1), West => east_2_west(8)(13), P => Signal_priority(17), Fifo_full => Fifo_full(1), |
---|
797 | South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1)); |
---|
798 | |
---|
799 | Arbiter_9_2 : Arbiter |
---|
800 | |
---|
801 | PORT MAP (Request => Request(93), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), |
---|
802 | South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2)); |
---|
803 | |
---|
804 | Arbiter_9_3 : Arbiter |
---|
805 | |
---|
806 | PORT MAP (Request => Request(81), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), |
---|
807 | South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3)); |
---|
808 | |
---|
809 | Arbiter_9_4 : Arbiter |
---|
810 | |
---|
811 | PORT MAP (Request => Request(69), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), |
---|
812 | South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4)); |
---|
813 | |
---|
814 | Arbiter_9_5 : Arbiter |
---|
815 | |
---|
816 | PORT MAP (Request => Request(57), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), |
---|
817 | South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5)); |
---|
818 | |
---|
819 | Arbiter_9_6 : Arbiter |
---|
820 | |
---|
821 | PORT MAP (Request => Request(45), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), |
---|
822 | South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6)); |
---|
823 | |
---|
824 | Arbiter_9_7 : Arbiter |
---|
825 | |
---|
826 | PORT MAP (Request => Request(33), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), |
---|
827 | South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7)); |
---|
828 | |
---|
829 | Arbiter_9_8 : Arbiter |
---|
830 | |
---|
831 | PORT MAP (Request => Request(21), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), |
---|
832 | South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8)); |
---|
833 | |
---|
834 | Arbiter_9_9 : Arbiter |
---|
835 | |
---|
836 | PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), |
---|
837 | South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9)); |
---|
838 | |
---|
839 | Arbiter_9_10 : Arbiter |
---|
840 | |
---|
841 | PORT MAP (Request => Request(166), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), |
---|
842 | South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10)); |
---|
843 | |
---|
844 | Arbiter_9_11 : Arbiter |
---|
845 | |
---|
846 | PORT MAP (Request => Request(154), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), |
---|
847 | South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11)); |
---|
848 | |
---|
849 | Arbiter_9_12 : Arbiter |
---|
850 | |
---|
851 | PORT MAP (Request => Request(142), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), |
---|
852 | South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12)); |
---|
853 | |
---|
854 | Arbiter_9_13 : Arbiter |
---|
855 | |
---|
856 | PORT MAP (Request => Request(130), North => south_2_north(8)(13), West => east_2_west(8)(12), P => Signal_priority(17), Fifo_full => Fifo_full(13), |
---|
857 | South => south_2_north(9)(13), East => east_2_west(9)(13) , Grant => Signal_grant(9)(13)); |
---|
858 | |
---|
859 | -------------------------- Diagonale n° 10 |
---|
860 | |
---|
861 | |
---|
862 | Arbiter_10_1 : Arbiter |
---|
863 | |
---|
864 | PORT MAP (Request => Request(118), North => south_2_north(9)(1), West => east_2_west(9)(13), P => Signal_priority(16), Fifo_full => Fifo_full(1), |
---|
865 | South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1)); |
---|
866 | |
---|
867 | Arbiter_10_2 : Arbiter |
---|
868 | |
---|
869 | PORT MAP (Request => Request(106), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), |
---|
870 | South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2)); |
---|
871 | |
---|
872 | Arbiter_10_3 : Arbiter |
---|
873 | |
---|
874 | PORT MAP (Request => Request(94), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), |
---|
875 | South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3)); |
---|
876 | |
---|
877 | Arbiter_10_4 : Arbiter |
---|
878 | |
---|
879 | PORT MAP (Request => Request(82), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), |
---|
880 | South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4)); |
---|
881 | |
---|
882 | Arbiter_10_5 : Arbiter |
---|
883 | |
---|
884 | PORT MAP (Request => Request(70), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), |
---|
885 | South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5)); |
---|
886 | |
---|
887 | Arbiter_10_6 : Arbiter |
---|
888 | |
---|
889 | PORT MAP (Request => Request(58), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), |
---|
890 | South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6)); |
---|
891 | |
---|
892 | Arbiter_10_7 : Arbiter |
---|
893 | |
---|
894 | PORT MAP (Request => Request(46), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), |
---|
895 | South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7)); |
---|
896 | |
---|
897 | Arbiter_10_8 : Arbiter |
---|
898 | |
---|
899 | PORT MAP (Request => Request(34), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), |
---|
900 | South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8)); |
---|
901 | |
---|
902 | Arbiter_10_9 : Arbiter |
---|
903 | |
---|
904 | PORT MAP (Request => Request(22), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), |
---|
905 | South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9)); |
---|
906 | |
---|
907 | Arbiter_10_10 : Arbiter |
---|
908 | |
---|
909 | PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), |
---|
910 | South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10)); |
---|
911 | |
---|
912 | Arbiter_10_11 : Arbiter |
---|
913 | |
---|
914 | PORT MAP (Request => Request(167), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), |
---|
915 | South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11)); |
---|
916 | |
---|
917 | Arbiter_10_12 : Arbiter |
---|
918 | |
---|
919 | PORT MAP (Request => Request(155), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), |
---|
920 | South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12)); |
---|
921 | |
---|
922 | Arbiter_10_13 : Arbiter |
---|
923 | |
---|
924 | PORT MAP (Request => Request(143), North => south_2_north(9)(13), West => east_2_west(9)(12), P => Signal_priority(16), Fifo_full => Fifo_full(13), |
---|
925 | South => south_2_north(10)(13), East => east_2_west(10)(13) , Grant => Signal_grant(10)(13)); |
---|
926 | |
---|
927 | -------------------------- Diagonale n° 11 |
---|
928 | |
---|
929 | |
---|
930 | Arbiter_11_1 : Arbiter |
---|
931 | |
---|
932 | PORT MAP (Request => Request(131), North => south_2_north(10)(1), West => east_2_west(10)(13), P => Signal_priority(15), Fifo_full => Fifo_full(1), |
---|
933 | South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1)); |
---|
934 | |
---|
935 | Arbiter_11_2 : Arbiter |
---|
936 | |
---|
937 | PORT MAP (Request => Request(119), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), |
---|
938 | South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2)); |
---|
939 | |
---|
940 | Arbiter_11_3 : Arbiter |
---|
941 | |
---|
942 | PORT MAP (Request => Request(107), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), |
---|
943 | South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3)); |
---|
944 | |
---|
945 | Arbiter_11_4 : Arbiter |
---|
946 | |
---|
947 | PORT MAP (Request => Request(95), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), |
---|
948 | South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4)); |
---|
949 | |
---|
950 | Arbiter_11_5 : Arbiter |
---|
951 | |
---|
952 | PORT MAP (Request => Request(83), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), |
---|
953 | South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5)); |
---|
954 | |
---|
955 | Arbiter_11_6 : Arbiter |
---|
956 | |
---|
957 | PORT MAP (Request => Request(71), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), |
---|
958 | South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6)); |
---|
959 | |
---|
960 | Arbiter_11_7 : Arbiter |
---|
961 | |
---|
962 | PORT MAP (Request => Request(59), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), |
---|
963 | South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7)); |
---|
964 | |
---|
965 | Arbiter_11_8 : Arbiter |
---|
966 | |
---|
967 | PORT MAP (Request => Request(47), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), |
---|
968 | South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8)); |
---|
969 | |
---|
970 | Arbiter_11_9 : Arbiter |
---|
971 | |
---|
972 | PORT MAP (Request => Request(35), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), |
---|
973 | South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9)); |
---|
974 | |
---|
975 | Arbiter_11_10 : Arbiter |
---|
976 | |
---|
977 | PORT MAP (Request => Request(23), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), |
---|
978 | South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10)); |
---|
979 | |
---|
980 | Arbiter_11_11 : Arbiter |
---|
981 | |
---|
982 | PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), |
---|
983 | South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11)); |
---|
984 | |
---|
985 | Arbiter_11_12 : Arbiter |
---|
986 | |
---|
987 | PORT MAP (Request => Request(168), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), |
---|
988 | South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12)); |
---|
989 | |
---|
990 | Arbiter_11_13 : Arbiter |
---|
991 | |
---|
992 | PORT MAP (Request => Request(156), North => south_2_north(10)(13), West => east_2_west(10)(12), P => Signal_priority(15), Fifo_full => Fifo_full(13), |
---|
993 | South => south_2_north(11)(13), East => east_2_west(11)(13) , Grant => Signal_grant(11)(13)); |
---|
994 | |
---|
995 | -------------------------- Diagonale n° 12 |
---|
996 | |
---|
997 | |
---|
998 | Arbiter_12_1 : Arbiter |
---|
999 | |
---|
1000 | PORT MAP (Request => Request(144), North => south_2_north(11)(1), West => east_2_west(11)(13), P => Signal_priority(14), Fifo_full => Fifo_full(1), |
---|
1001 | South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1)); |
---|
1002 | |
---|
1003 | Arbiter_12_2 : Arbiter |
---|
1004 | |
---|
1005 | PORT MAP (Request => Request(132), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), |
---|
1006 | South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2)); |
---|
1007 | |
---|
1008 | Arbiter_12_3 : Arbiter |
---|
1009 | |
---|
1010 | PORT MAP (Request => Request(120), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), |
---|
1011 | South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3)); |
---|
1012 | |
---|
1013 | Arbiter_12_4 : Arbiter |
---|
1014 | |
---|
1015 | PORT MAP (Request => Request(108), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), |
---|
1016 | South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4)); |
---|
1017 | |
---|
1018 | Arbiter_12_5 : Arbiter |
---|
1019 | |
---|
1020 | PORT MAP (Request => Request(96), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), |
---|
1021 | South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5)); |
---|
1022 | |
---|
1023 | Arbiter_12_6 : Arbiter |
---|
1024 | |
---|
1025 | PORT MAP (Request => Request(84), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), |
---|
1026 | South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6)); |
---|
1027 | |
---|
1028 | Arbiter_12_7 : Arbiter |
---|
1029 | |
---|
1030 | PORT MAP (Request => Request(72), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), |
---|
1031 | South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7)); |
---|
1032 | |
---|
1033 | Arbiter_12_8 : Arbiter |
---|
1034 | |
---|
1035 | PORT MAP (Request => Request(60), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), |
---|
1036 | South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8)); |
---|
1037 | |
---|
1038 | Arbiter_12_9 : Arbiter |
---|
1039 | |
---|
1040 | PORT MAP (Request => Request(48), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), |
---|
1041 | South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9)); |
---|
1042 | |
---|
1043 | Arbiter_12_10 : Arbiter |
---|
1044 | |
---|
1045 | PORT MAP (Request => Request(36), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), |
---|
1046 | South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10)); |
---|
1047 | |
---|
1048 | Arbiter_12_11 : Arbiter |
---|
1049 | |
---|
1050 | PORT MAP (Request => Request(24), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), |
---|
1051 | South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11)); |
---|
1052 | |
---|
1053 | Arbiter_12_12 : Arbiter |
---|
1054 | |
---|
1055 | PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), |
---|
1056 | South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12)); |
---|
1057 | |
---|
1058 | Arbiter_12_13 : Arbiter |
---|
1059 | |
---|
1060 | PORT MAP (Request => Request(169), North => south_2_north(11)(13), West => east_2_west(11)(12), P => Signal_priority(14), Fifo_full => Fifo_full(13), |
---|
1061 | South => south_2_north(12)(13), East => east_2_west(12)(13) , Grant => Signal_grant(12)(13)); |
---|
1062 | |
---|
1063 | -------------------------- Diagonale n° 13 |
---|
1064 | |
---|
1065 | |
---|
1066 | Arbiter_13_1 : Arbiter |
---|
1067 | |
---|
1068 | PORT MAP (Request => Request(157), North => south_2_north(12)(1), West => east_2_west(12)(13), P => Signal_priority(13), Fifo_full => Fifo_full(1), |
---|
1069 | South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1)); |
---|
1070 | |
---|
1071 | Arbiter_13_2 : Arbiter |
---|
1072 | |
---|
1073 | PORT MAP (Request => Request(145), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), |
---|
1074 | South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2)); |
---|
1075 | |
---|
1076 | Arbiter_13_3 : Arbiter |
---|
1077 | |
---|
1078 | PORT MAP (Request => Request(133), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), |
---|
1079 | South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3)); |
---|
1080 | |
---|
1081 | Arbiter_13_4 : Arbiter |
---|
1082 | |
---|
1083 | PORT MAP (Request => Request(121), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), |
---|
1084 | South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4)); |
---|
1085 | |
---|
1086 | Arbiter_13_5 : Arbiter |
---|
1087 | |
---|
1088 | PORT MAP (Request => Request(109), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), |
---|
1089 | South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5)); |
---|
1090 | |
---|
1091 | Arbiter_13_6 : Arbiter |
---|
1092 | |
---|
1093 | PORT MAP (Request => Request(97), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), |
---|
1094 | South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6)); |
---|
1095 | |
---|
1096 | Arbiter_13_7 : Arbiter |
---|
1097 | |
---|
1098 | PORT MAP (Request => Request(85), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), |
---|
1099 | South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7)); |
---|
1100 | |
---|
1101 | Arbiter_13_8 : Arbiter |
---|
1102 | |
---|
1103 | PORT MAP (Request => Request(73), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), |
---|
1104 | South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8)); |
---|
1105 | |
---|
1106 | Arbiter_13_9 : Arbiter |
---|
1107 | |
---|
1108 | PORT MAP (Request => Request(61), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), |
---|
1109 | South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9)); |
---|
1110 | |
---|
1111 | Arbiter_13_10 : Arbiter |
---|
1112 | |
---|
1113 | PORT MAP (Request => Request(49), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), |
---|
1114 | South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10)); |
---|
1115 | |
---|
1116 | Arbiter_13_11 : Arbiter |
---|
1117 | |
---|
1118 | PORT MAP (Request => Request(37), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), |
---|
1119 | South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11)); |
---|
1120 | |
---|
1121 | Arbiter_13_12 : Arbiter |
---|
1122 | |
---|
1123 | PORT MAP (Request => Request(25), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), |
---|
1124 | South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12)); |
---|
1125 | |
---|
1126 | Arbiter_13_13 : Arbiter |
---|
1127 | |
---|
1128 | PORT MAP (Request => Request(13), North => south_2_north(12)(13), West => east_2_west(12)(12), P => Signal_priority(13), Fifo_full => Fifo_full(13), |
---|
1129 | South => south_2_north(13)(13), East => east_2_west(13)(13) , Grant => Signal_grant(13)(13)); |
---|
1130 | |
---|
1131 | -------------------------- Diagonale n° 14 |
---|
1132 | |
---|
1133 | |
---|
1134 | Arbiter_14_1 : Arbiter |
---|
1135 | |
---|
1136 | PORT MAP (Request => Request(1), North => south_2_north(13)(1), West => east_2_west(13)(13), P => Signal_priority(12), Fifo_full => Fifo_full(1), |
---|
1137 | South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1)); |
---|
1138 | |
---|
1139 | Arbiter_14_2 : Arbiter |
---|
1140 | |
---|
1141 | PORT MAP (Request => Request(158), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), |
---|
1142 | South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2)); |
---|
1143 | |
---|
1144 | Arbiter_14_3 : Arbiter |
---|
1145 | |
---|
1146 | PORT MAP (Request => Request(146), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), |
---|
1147 | South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3)); |
---|
1148 | |
---|
1149 | Arbiter_14_4 : Arbiter |
---|
1150 | |
---|
1151 | PORT MAP (Request => Request(134), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), |
---|
1152 | South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4)); |
---|
1153 | |
---|
1154 | Arbiter_14_5 : Arbiter |
---|
1155 | |
---|
1156 | PORT MAP (Request => Request(122), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), |
---|
1157 | South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5)); |
---|
1158 | |
---|
1159 | Arbiter_14_6 : Arbiter |
---|
1160 | |
---|
1161 | PORT MAP (Request => Request(110), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), |
---|
1162 | South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6)); |
---|
1163 | |
---|
1164 | Arbiter_14_7 : Arbiter |
---|
1165 | |
---|
1166 | PORT MAP (Request => Request(98), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), |
---|
1167 | South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7)); |
---|
1168 | |
---|
1169 | Arbiter_14_8 : Arbiter |
---|
1170 | |
---|
1171 | PORT MAP (Request => Request(86), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), |
---|
1172 | South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8)); |
---|
1173 | |
---|
1174 | Arbiter_14_9 : Arbiter |
---|
1175 | |
---|
1176 | PORT MAP (Request => Request(74), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), |
---|
1177 | South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9)); |
---|
1178 | |
---|
1179 | Arbiter_14_10 : Arbiter |
---|
1180 | |
---|
1181 | PORT MAP (Request => Request(62), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), |
---|
1182 | South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10)); |
---|
1183 | |
---|
1184 | Arbiter_14_11 : Arbiter |
---|
1185 | |
---|
1186 | PORT MAP (Request => Request(50), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), |
---|
1187 | South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11)); |
---|
1188 | |
---|
1189 | Arbiter_14_12 : Arbiter |
---|
1190 | |
---|
1191 | PORT MAP (Request => Request(38), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), |
---|
1192 | South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12)); |
---|
1193 | |
---|
1194 | Arbiter_14_13 : Arbiter |
---|
1195 | |
---|
1196 | PORT MAP (Request => Request(26), North => south_2_north(13)(13), West => east_2_west(13)(12), P => Signal_priority(12), Fifo_full => Fifo_full(13), |
---|
1197 | South => south_2_north(14)(13), East => east_2_west(14)(13) , Grant => Signal_grant(14)(13)); |
---|
1198 | |
---|
1199 | -------------------------- Diagonale n° 15 |
---|
1200 | |
---|
1201 | |
---|
1202 | Arbiter_15_1 : Arbiter |
---|
1203 | |
---|
1204 | PORT MAP (Request => Request(14), North => south_2_north(14)(1), West => east_2_west(14)(13), P => Signal_priority(11), Fifo_full => Fifo_full(1), |
---|
1205 | South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1)); |
---|
1206 | |
---|
1207 | Arbiter_15_2 : Arbiter |
---|
1208 | |
---|
1209 | PORT MAP (Request => Request(2), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), |
---|
1210 | South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2)); |
---|
1211 | |
---|
1212 | Arbiter_15_3 : Arbiter |
---|
1213 | |
---|
1214 | PORT MAP (Request => Request(159), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), |
---|
1215 | South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3)); |
---|
1216 | |
---|
1217 | Arbiter_15_4 : Arbiter |
---|
1218 | |
---|
1219 | PORT MAP (Request => Request(147), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), |
---|
1220 | South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4)); |
---|
1221 | |
---|
1222 | Arbiter_15_5 : Arbiter |
---|
1223 | |
---|
1224 | PORT MAP (Request => Request(135), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), |
---|
1225 | South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5)); |
---|
1226 | |
---|
1227 | Arbiter_15_6 : Arbiter |
---|
1228 | |
---|
1229 | PORT MAP (Request => Request(123), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), |
---|
1230 | South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6)); |
---|
1231 | |
---|
1232 | Arbiter_15_7 : Arbiter |
---|
1233 | |
---|
1234 | PORT MAP (Request => Request(111), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), |
---|
1235 | South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7)); |
---|
1236 | |
---|
1237 | Arbiter_15_8 : Arbiter |
---|
1238 | |
---|
1239 | PORT MAP (Request => Request(99), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), |
---|
1240 | South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8)); |
---|
1241 | |
---|
1242 | Arbiter_15_9 : Arbiter |
---|
1243 | |
---|
1244 | PORT MAP (Request => Request(87), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), |
---|
1245 | South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9)); |
---|
1246 | |
---|
1247 | Arbiter_15_10 : Arbiter |
---|
1248 | |
---|
1249 | PORT MAP (Request => Request(75), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), |
---|
1250 | South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10)); |
---|
1251 | |
---|
1252 | Arbiter_15_11 : Arbiter |
---|
1253 | |
---|
1254 | PORT MAP (Request => Request(63), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), |
---|
1255 | South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11)); |
---|
1256 | |
---|
1257 | Arbiter_15_12 : Arbiter |
---|
1258 | |
---|
1259 | PORT MAP (Request => Request(51), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), |
---|
1260 | South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12)); |
---|
1261 | |
---|
1262 | Arbiter_15_13 : Arbiter |
---|
1263 | |
---|
1264 | PORT MAP (Request => Request(39), North => south_2_north(14)(13), West => east_2_west(14)(12), P => Signal_priority(11), Fifo_full => Fifo_full(13), |
---|
1265 | South => south_2_north(15)(13), East => east_2_west(15)(13) , Grant => Signal_grant(15)(13)); |
---|
1266 | |
---|
1267 | -------------------------- Diagonale n° 16 |
---|
1268 | |
---|
1269 | |
---|
1270 | Arbiter_16_1 : Arbiter |
---|
1271 | |
---|
1272 | PORT MAP (Request => Request(27), North => south_2_north(15)(1), West => east_2_west(15)(13), P => Signal_priority(10), Fifo_full => Fifo_full(1), |
---|
1273 | South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1)); |
---|
1274 | |
---|
1275 | Arbiter_16_2 : Arbiter |
---|
1276 | |
---|
1277 | PORT MAP (Request => Request(15), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), |
---|
1278 | South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2)); |
---|
1279 | |
---|
1280 | Arbiter_16_3 : Arbiter |
---|
1281 | |
---|
1282 | PORT MAP (Request => Request(3), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), |
---|
1283 | South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3)); |
---|
1284 | |
---|
1285 | Arbiter_16_4 : Arbiter |
---|
1286 | |
---|
1287 | PORT MAP (Request => Request(160), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), |
---|
1288 | South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4)); |
---|
1289 | |
---|
1290 | Arbiter_16_5 : Arbiter |
---|
1291 | |
---|
1292 | PORT MAP (Request => Request(148), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), |
---|
1293 | South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5)); |
---|
1294 | |
---|
1295 | Arbiter_16_6 : Arbiter |
---|
1296 | |
---|
1297 | PORT MAP (Request => Request(136), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), |
---|
1298 | South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6)); |
---|
1299 | |
---|
1300 | Arbiter_16_7 : Arbiter |
---|
1301 | |
---|
1302 | PORT MAP (Request => Request(124), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), |
---|
1303 | South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7)); |
---|
1304 | |
---|
1305 | Arbiter_16_8 : Arbiter |
---|
1306 | |
---|
1307 | PORT MAP (Request => Request(112), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), |
---|
1308 | South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8)); |
---|
1309 | |
---|
1310 | Arbiter_16_9 : Arbiter |
---|
1311 | |
---|
1312 | PORT MAP (Request => Request(100), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), |
---|
1313 | South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9)); |
---|
1314 | |
---|
1315 | Arbiter_16_10 : Arbiter |
---|
1316 | |
---|
1317 | PORT MAP (Request => Request(88), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), |
---|
1318 | South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10)); |
---|
1319 | |
---|
1320 | Arbiter_16_11 : Arbiter |
---|
1321 | |
---|
1322 | PORT MAP (Request => Request(76), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), |
---|
1323 | South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11)); |
---|
1324 | |
---|
1325 | Arbiter_16_12 : Arbiter |
---|
1326 | |
---|
1327 | PORT MAP (Request => Request(64), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), |
---|
1328 | South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12)); |
---|
1329 | |
---|
1330 | Arbiter_16_13 : Arbiter |
---|
1331 | |
---|
1332 | PORT MAP (Request => Request(52), North => south_2_north(15)(13), West => east_2_west(15)(12), P => Signal_priority(10), Fifo_full => Fifo_full(13), |
---|
1333 | South => south_2_north(16)(13), East => east_2_west(16)(13) , Grant => Signal_grant(16)(13)); |
---|
1334 | |
---|
1335 | -------------------------- Diagonale n° 17 |
---|
1336 | |
---|
1337 | |
---|
1338 | Arbiter_17_1 : Arbiter |
---|
1339 | |
---|
1340 | PORT MAP (Request => Request(40), North => south_2_north(16)(1), West => east_2_west(16)(13), P => Signal_priority(9), Fifo_full => Fifo_full(1), |
---|
1341 | South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1)); |
---|
1342 | |
---|
1343 | Arbiter_17_2 : Arbiter |
---|
1344 | |
---|
1345 | PORT MAP (Request => Request(28), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), |
---|
1346 | South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2)); |
---|
1347 | |
---|
1348 | Arbiter_17_3 : Arbiter |
---|
1349 | |
---|
1350 | PORT MAP (Request => Request(16), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), |
---|
1351 | South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3)); |
---|
1352 | |
---|
1353 | Arbiter_17_4 : Arbiter |
---|
1354 | |
---|
1355 | PORT MAP (Request => Request(4), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), |
---|
1356 | South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4)); |
---|
1357 | |
---|
1358 | Arbiter_17_5 : Arbiter |
---|
1359 | |
---|
1360 | PORT MAP (Request => Request(161), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), |
---|
1361 | South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5)); |
---|
1362 | |
---|
1363 | Arbiter_17_6 : Arbiter |
---|
1364 | |
---|
1365 | PORT MAP (Request => Request(149), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), |
---|
1366 | South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6)); |
---|
1367 | |
---|
1368 | Arbiter_17_7 : Arbiter |
---|
1369 | |
---|
1370 | PORT MAP (Request => Request(137), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), |
---|
1371 | South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7)); |
---|
1372 | |
---|
1373 | Arbiter_17_8 : Arbiter |
---|
1374 | |
---|
1375 | PORT MAP (Request => Request(125), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), |
---|
1376 | South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8)); |
---|
1377 | |
---|
1378 | Arbiter_17_9 : Arbiter |
---|
1379 | |
---|
1380 | PORT MAP (Request => Request(113), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), |
---|
1381 | South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9)); |
---|
1382 | |
---|
1383 | Arbiter_17_10 : Arbiter |
---|
1384 | |
---|
1385 | PORT MAP (Request => Request(101), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), |
---|
1386 | South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10)); |
---|
1387 | |
---|
1388 | Arbiter_17_11 : Arbiter |
---|
1389 | |
---|
1390 | PORT MAP (Request => Request(89), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), |
---|
1391 | South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11)); |
---|
1392 | |
---|
1393 | Arbiter_17_12 : Arbiter |
---|
1394 | |
---|
1395 | PORT MAP (Request => Request(77), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), |
---|
1396 | South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12)); |
---|
1397 | |
---|
1398 | Arbiter_17_13 : Arbiter |
---|
1399 | |
---|
1400 | PORT MAP (Request => Request(65), North => south_2_north(16)(13), West => east_2_west(16)(12), P => Signal_priority(9), Fifo_full => Fifo_full(13), |
---|
1401 | South => south_2_north(17)(13), East => east_2_west(17)(13) , Grant => Signal_grant(17)(13)); |
---|
1402 | |
---|
1403 | -------------------------- Diagonale n° 18 |
---|
1404 | |
---|
1405 | |
---|
1406 | Arbiter_18_1 : Arbiter |
---|
1407 | |
---|
1408 | PORT MAP (Request => Request(53), North => south_2_north(17)(1), West => east_2_west(17)(13), P => Signal_priority(8), Fifo_full => Fifo_full(1), |
---|
1409 | South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1)); |
---|
1410 | |
---|
1411 | Arbiter_18_2 : Arbiter |
---|
1412 | |
---|
1413 | PORT MAP (Request => Request(41), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), |
---|
1414 | South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2)); |
---|
1415 | |
---|
1416 | Arbiter_18_3 : Arbiter |
---|
1417 | |
---|
1418 | PORT MAP (Request => Request(29), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), |
---|
1419 | South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3)); |
---|
1420 | |
---|
1421 | Arbiter_18_4 : Arbiter |
---|
1422 | |
---|
1423 | PORT MAP (Request => Request(17), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), |
---|
1424 | South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4)); |
---|
1425 | |
---|
1426 | Arbiter_18_5 : Arbiter |
---|
1427 | |
---|
1428 | PORT MAP (Request => Request(5), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), |
---|
1429 | South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5)); |
---|
1430 | |
---|
1431 | Arbiter_18_6 : Arbiter |
---|
1432 | |
---|
1433 | PORT MAP (Request => Request(162), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), |
---|
1434 | South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6)); |
---|
1435 | |
---|
1436 | Arbiter_18_7 : Arbiter |
---|
1437 | |
---|
1438 | PORT MAP (Request => Request(150), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), |
---|
1439 | South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7)); |
---|
1440 | |
---|
1441 | Arbiter_18_8 : Arbiter |
---|
1442 | |
---|
1443 | PORT MAP (Request => Request(138), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), |
---|
1444 | South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8)); |
---|
1445 | |
---|
1446 | Arbiter_18_9 : Arbiter |
---|
1447 | |
---|
1448 | PORT MAP (Request => Request(126), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), |
---|
1449 | South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9)); |
---|
1450 | |
---|
1451 | Arbiter_18_10 : Arbiter |
---|
1452 | |
---|
1453 | PORT MAP (Request => Request(114), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), |
---|
1454 | South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10)); |
---|
1455 | |
---|
1456 | Arbiter_18_11 : Arbiter |
---|
1457 | |
---|
1458 | PORT MAP (Request => Request(102), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), |
---|
1459 | South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11)); |
---|
1460 | |
---|
1461 | Arbiter_18_12 : Arbiter |
---|
1462 | |
---|
1463 | PORT MAP (Request => Request(90), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), |
---|
1464 | South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12)); |
---|
1465 | |
---|
1466 | Arbiter_18_13 : Arbiter |
---|
1467 | |
---|
1468 | PORT MAP (Request => Request(78), North => south_2_north(17)(13), West => east_2_west(17)(12), P => Signal_priority(8), Fifo_full => Fifo_full(13), |
---|
1469 | South => south_2_north(18)(13), East => east_2_west(18)(13) , Grant => Signal_grant(18)(13)); |
---|
1470 | |
---|
1471 | -------------------------- Diagonale n° 19 |
---|
1472 | |
---|
1473 | |
---|
1474 | Arbiter_19_1 : Arbiter |
---|
1475 | |
---|
1476 | PORT MAP (Request => Request(66), North => south_2_north(18)(1), West => east_2_west(18)(13), P => Signal_priority(7), Fifo_full => Fifo_full(1), |
---|
1477 | South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1)); |
---|
1478 | |
---|
1479 | Arbiter_19_2 : Arbiter |
---|
1480 | |
---|
1481 | PORT MAP (Request => Request(54), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), |
---|
1482 | South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2)); |
---|
1483 | |
---|
1484 | Arbiter_19_3 : Arbiter |
---|
1485 | |
---|
1486 | PORT MAP (Request => Request(42), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), |
---|
1487 | South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3)); |
---|
1488 | |
---|
1489 | Arbiter_19_4 : Arbiter |
---|
1490 | |
---|
1491 | PORT MAP (Request => Request(30), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), |
---|
1492 | South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4)); |
---|
1493 | |
---|
1494 | Arbiter_19_5 : Arbiter |
---|
1495 | |
---|
1496 | PORT MAP (Request => Request(18), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), |
---|
1497 | South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5)); |
---|
1498 | |
---|
1499 | Arbiter_19_6 : Arbiter |
---|
1500 | |
---|
1501 | PORT MAP (Request => Request(6), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), |
---|
1502 | South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6)); |
---|
1503 | |
---|
1504 | Arbiter_19_7 : Arbiter |
---|
1505 | |
---|
1506 | PORT MAP (Request => Request(163), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), |
---|
1507 | South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7)); |
---|
1508 | |
---|
1509 | Arbiter_19_8 : Arbiter |
---|
1510 | |
---|
1511 | PORT MAP (Request => Request(151), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), |
---|
1512 | South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8)); |
---|
1513 | |
---|
1514 | Arbiter_19_9 : Arbiter |
---|
1515 | |
---|
1516 | PORT MAP (Request => Request(139), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), |
---|
1517 | South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9)); |
---|
1518 | |
---|
1519 | Arbiter_19_10 : Arbiter |
---|
1520 | |
---|
1521 | PORT MAP (Request => Request(127), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), |
---|
1522 | South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10)); |
---|
1523 | |
---|
1524 | Arbiter_19_11 : Arbiter |
---|
1525 | |
---|
1526 | PORT MAP (Request => Request(115), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), |
---|
1527 | South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11)); |
---|
1528 | |
---|
1529 | Arbiter_19_12 : Arbiter |
---|
1530 | |
---|
1531 | PORT MAP (Request => Request(103), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), |
---|
1532 | South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12)); |
---|
1533 | |
---|
1534 | Arbiter_19_13 : Arbiter |
---|
1535 | |
---|
1536 | PORT MAP (Request => Request(91), North => south_2_north(18)(13), West => east_2_west(18)(12), P => Signal_priority(7), Fifo_full => Fifo_full(13), |
---|
1537 | South => south_2_north(19)(13), East => east_2_west(19)(13) , Grant => Signal_grant(19)(13)); |
---|
1538 | |
---|
1539 | -------------------------- Diagonale n° 20 |
---|
1540 | |
---|
1541 | |
---|
1542 | Arbiter_20_1 : Arbiter |
---|
1543 | |
---|
1544 | PORT MAP (Request => Request(79), North => south_2_north(19)(1), West => east_2_west(19)(13), P => Signal_priority(6), Fifo_full => Fifo_full(1), |
---|
1545 | South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1)); |
---|
1546 | |
---|
1547 | Arbiter_20_2 : Arbiter |
---|
1548 | |
---|
1549 | PORT MAP (Request => Request(67), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), |
---|
1550 | South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2)); |
---|
1551 | |
---|
1552 | Arbiter_20_3 : Arbiter |
---|
1553 | |
---|
1554 | PORT MAP (Request => Request(55), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), |
---|
1555 | South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3)); |
---|
1556 | |
---|
1557 | Arbiter_20_4 : Arbiter |
---|
1558 | |
---|
1559 | PORT MAP (Request => Request(43), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), |
---|
1560 | South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4)); |
---|
1561 | |
---|
1562 | Arbiter_20_5 : Arbiter |
---|
1563 | |
---|
1564 | PORT MAP (Request => Request(31), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), |
---|
1565 | South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5)); |
---|
1566 | |
---|
1567 | Arbiter_20_6 : Arbiter |
---|
1568 | |
---|
1569 | PORT MAP (Request => Request(19), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), |
---|
1570 | South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6)); |
---|
1571 | |
---|
1572 | Arbiter_20_7 : Arbiter |
---|
1573 | |
---|
1574 | PORT MAP (Request => Request(7), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), |
---|
1575 | South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7)); |
---|
1576 | |
---|
1577 | Arbiter_20_8 : Arbiter |
---|
1578 | |
---|
1579 | PORT MAP (Request => Request(164), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), |
---|
1580 | South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8)); |
---|
1581 | |
---|
1582 | Arbiter_20_9 : Arbiter |
---|
1583 | |
---|
1584 | PORT MAP (Request => Request(152), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), |
---|
1585 | South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9)); |
---|
1586 | |
---|
1587 | Arbiter_20_10 : Arbiter |
---|
1588 | |
---|
1589 | PORT MAP (Request => Request(140), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), |
---|
1590 | South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10)); |
---|
1591 | |
---|
1592 | Arbiter_20_11 : Arbiter |
---|
1593 | |
---|
1594 | PORT MAP (Request => Request(128), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), |
---|
1595 | South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11)); |
---|
1596 | |
---|
1597 | Arbiter_20_12 : Arbiter |
---|
1598 | |
---|
1599 | PORT MAP (Request => Request(116), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), |
---|
1600 | South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12)); |
---|
1601 | |
---|
1602 | Arbiter_20_13 : Arbiter |
---|
1603 | |
---|
1604 | PORT MAP (Request => Request(104), North => south_2_north(19)(13), West => east_2_west(19)(12), P => Signal_priority(6), Fifo_full => Fifo_full(13), |
---|
1605 | South => south_2_north(20)(13), East => east_2_west(20)(13) , Grant => Signal_grant(20)(13)); |
---|
1606 | |
---|
1607 | -------------------------- Diagonale n° 21 |
---|
1608 | |
---|
1609 | |
---|
1610 | Arbiter_21_1 : Arbiter |
---|
1611 | |
---|
1612 | PORT MAP (Request => Request(92), North => south_2_north(20)(1), West => east_2_west(20)(13), P => Signal_priority(5), Fifo_full => Fifo_full(1), |
---|
1613 | South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1)); |
---|
1614 | |
---|
1615 | Arbiter_21_2 : Arbiter |
---|
1616 | |
---|
1617 | PORT MAP (Request => Request(80), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), |
---|
1618 | South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2)); |
---|
1619 | |
---|
1620 | Arbiter_21_3 : Arbiter |
---|
1621 | |
---|
1622 | PORT MAP (Request => Request(68), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), |
---|
1623 | South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3)); |
---|
1624 | |
---|
1625 | Arbiter_21_4 : Arbiter |
---|
1626 | |
---|
1627 | PORT MAP (Request => Request(56), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), |
---|
1628 | South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4)); |
---|
1629 | |
---|
1630 | Arbiter_21_5 : Arbiter |
---|
1631 | |
---|
1632 | PORT MAP (Request => Request(44), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), |
---|
1633 | South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5)); |
---|
1634 | |
---|
1635 | Arbiter_21_6 : Arbiter |
---|
1636 | |
---|
1637 | PORT MAP (Request => Request(32), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), |
---|
1638 | South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6)); |
---|
1639 | |
---|
1640 | Arbiter_21_7 : Arbiter |
---|
1641 | |
---|
1642 | PORT MAP (Request => Request(20), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), |
---|
1643 | South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7)); |
---|
1644 | |
---|
1645 | Arbiter_21_8 : Arbiter |
---|
1646 | |
---|
1647 | PORT MAP (Request => Request(8), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), |
---|
1648 | South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8)); |
---|
1649 | |
---|
1650 | Arbiter_21_9 : Arbiter |
---|
1651 | |
---|
1652 | PORT MAP (Request => Request(165), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), |
---|
1653 | South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9)); |
---|
1654 | |
---|
1655 | Arbiter_21_10 : Arbiter |
---|
1656 | |
---|
1657 | PORT MAP (Request => Request(153), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), |
---|
1658 | South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10)); |
---|
1659 | |
---|
1660 | Arbiter_21_11 : Arbiter |
---|
1661 | |
---|
1662 | PORT MAP (Request => Request(141), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), |
---|
1663 | South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11)); |
---|
1664 | |
---|
1665 | Arbiter_21_12 : Arbiter |
---|
1666 | |
---|
1667 | PORT MAP (Request => Request(129), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), |
---|
1668 | South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12)); |
---|
1669 | |
---|
1670 | Arbiter_21_13 : Arbiter |
---|
1671 | |
---|
1672 | PORT MAP (Request => Request(117), North => south_2_north(20)(13), West => east_2_west(20)(12), P => Signal_priority(5), Fifo_full => Fifo_full(13), |
---|
1673 | South => south_2_north(21)(13), East => east_2_west(21)(13) , Grant => Signal_grant(21)(13)); |
---|
1674 | |
---|
1675 | -------------------------- Diagonale n° 22 |
---|
1676 | |
---|
1677 | |
---|
1678 | Arbiter_22_1 : Arbiter |
---|
1679 | |
---|
1680 | PORT MAP (Request => Request(105), North => south_2_north(21)(1), West => east_2_west(21)(13), P => Signal_priority(4), Fifo_full => Fifo_full(1), |
---|
1681 | South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1)); |
---|
1682 | |
---|
1683 | Arbiter_22_2 : Arbiter |
---|
1684 | |
---|
1685 | PORT MAP (Request => Request(93), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), |
---|
1686 | South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2)); |
---|
1687 | |
---|
1688 | Arbiter_22_3 : Arbiter |
---|
1689 | |
---|
1690 | PORT MAP (Request => Request(81), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), |
---|
1691 | South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3)); |
---|
1692 | |
---|
1693 | Arbiter_22_4 : Arbiter |
---|
1694 | |
---|
1695 | PORT MAP (Request => Request(69), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), |
---|
1696 | South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4)); |
---|
1697 | |
---|
1698 | Arbiter_22_5 : Arbiter |
---|
1699 | |
---|
1700 | PORT MAP (Request => Request(57), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), |
---|
1701 | South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5)); |
---|
1702 | |
---|
1703 | Arbiter_22_6 : Arbiter |
---|
1704 | |
---|
1705 | PORT MAP (Request => Request(45), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), |
---|
1706 | South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6)); |
---|
1707 | |
---|
1708 | Arbiter_22_7 : Arbiter |
---|
1709 | |
---|
1710 | PORT MAP (Request => Request(33), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), |
---|
1711 | South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7)); |
---|
1712 | |
---|
1713 | Arbiter_22_8 : Arbiter |
---|
1714 | |
---|
1715 | PORT MAP (Request => Request(21), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), |
---|
1716 | South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8)); |
---|
1717 | |
---|
1718 | Arbiter_22_9 : Arbiter |
---|
1719 | |
---|
1720 | PORT MAP (Request => Request(9), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), |
---|
1721 | South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9)); |
---|
1722 | |
---|
1723 | Arbiter_22_10 : Arbiter |
---|
1724 | |
---|
1725 | PORT MAP (Request => Request(166), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), |
---|
1726 | South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10)); |
---|
1727 | |
---|
1728 | Arbiter_22_11 : Arbiter |
---|
1729 | |
---|
1730 | PORT MAP (Request => Request(154), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), |
---|
1731 | South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11)); |
---|
1732 | |
---|
1733 | Arbiter_22_12 : Arbiter |
---|
1734 | |
---|
1735 | PORT MAP (Request => Request(142), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), |
---|
1736 | South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12)); |
---|
1737 | |
---|
1738 | Arbiter_22_13 : Arbiter |
---|
1739 | |
---|
1740 | PORT MAP (Request => Request(130), North => south_2_north(21)(13), West => east_2_west(21)(12), P => Signal_priority(4), Fifo_full => Fifo_full(13), |
---|
1741 | South => south_2_north(22)(13), East => east_2_west(22)(13) , Grant => Signal_grant(22)(13)); |
---|
1742 | |
---|
1743 | -------------------------- Diagonale n° 23 |
---|
1744 | |
---|
1745 | |
---|
1746 | Arbiter_23_1 : Arbiter |
---|
1747 | |
---|
1748 | PORT MAP (Request => Request(118), North => south_2_north(22)(1), West => east_2_west(22)(13), P => Signal_priority(3), Fifo_full => Fifo_full(1), |
---|
1749 | South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1)); |
---|
1750 | |
---|
1751 | Arbiter_23_2 : Arbiter |
---|
1752 | |
---|
1753 | PORT MAP (Request => Request(106), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), |
---|
1754 | South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2)); |
---|
1755 | |
---|
1756 | Arbiter_23_3 : Arbiter |
---|
1757 | |
---|
1758 | PORT MAP (Request => Request(94), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), |
---|
1759 | South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3)); |
---|
1760 | |
---|
1761 | Arbiter_23_4 : Arbiter |
---|
1762 | |
---|
1763 | PORT MAP (Request => Request(82), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), |
---|
1764 | South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4)); |
---|
1765 | |
---|
1766 | Arbiter_23_5 : Arbiter |
---|
1767 | |
---|
1768 | PORT MAP (Request => Request(70), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), |
---|
1769 | South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5)); |
---|
1770 | |
---|
1771 | Arbiter_23_6 : Arbiter |
---|
1772 | |
---|
1773 | PORT MAP (Request => Request(58), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), |
---|
1774 | South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6)); |
---|
1775 | |
---|
1776 | Arbiter_23_7 : Arbiter |
---|
1777 | |
---|
1778 | PORT MAP (Request => Request(46), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), |
---|
1779 | South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7)); |
---|
1780 | |
---|
1781 | Arbiter_23_8 : Arbiter |
---|
1782 | |
---|
1783 | PORT MAP (Request => Request(34), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), |
---|
1784 | South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8)); |
---|
1785 | |
---|
1786 | Arbiter_23_9 : Arbiter |
---|
1787 | |
---|
1788 | PORT MAP (Request => Request(22), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), |
---|
1789 | South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9)); |
---|
1790 | |
---|
1791 | Arbiter_23_10 : Arbiter |
---|
1792 | |
---|
1793 | PORT MAP (Request => Request(10), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), |
---|
1794 | South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10)); |
---|
1795 | |
---|
1796 | Arbiter_23_11 : Arbiter |
---|
1797 | |
---|
1798 | PORT MAP (Request => Request(167), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), |
---|
1799 | South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11)); |
---|
1800 | |
---|
1801 | Arbiter_23_12 : Arbiter |
---|
1802 | |
---|
1803 | PORT MAP (Request => Request(155), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), |
---|
1804 | South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12)); |
---|
1805 | |
---|
1806 | Arbiter_23_13 : Arbiter |
---|
1807 | |
---|
1808 | PORT MAP (Request => Request(143), North => south_2_north(22)(13), West => east_2_west(22)(12), P => Signal_priority(3), Fifo_full => Fifo_full(13), |
---|
1809 | South => south_2_north(23)(13), East => east_2_west(23)(13) , Grant => Signal_grant(23)(13)); |
---|
1810 | |
---|
1811 | -------------------------- Diagonale n° 24 |
---|
1812 | |
---|
1813 | |
---|
1814 | Arbiter_24_1 : Arbiter |
---|
1815 | |
---|
1816 | PORT MAP (Request => Request(131), North => south_2_north(23)(1), West => east_2_west(23)(13), P => Signal_priority(2), Fifo_full => Fifo_full(1), |
---|
1817 | South => south_2_north(24)(1), East => east_2_west(24)(1) , Grant => Signal_grant(24)(1)); |
---|
1818 | |
---|
1819 | Arbiter_24_2 : Arbiter |
---|
1820 | |
---|
1821 | PORT MAP (Request => Request(119), North => south_2_north(23)(2), West => east_2_west(23)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), |
---|
1822 | South => south_2_north(24)(2), East => east_2_west(24)(2) , Grant => Signal_grant(24)(2)); |
---|
1823 | |
---|
1824 | Arbiter_24_3 : Arbiter |
---|
1825 | |
---|
1826 | PORT MAP (Request => Request(107), North => south_2_north(23)(3), West => east_2_west(23)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), |
---|
1827 | South => south_2_north(24)(3), East => east_2_west(24)(3) , Grant => Signal_grant(24)(3)); |
---|
1828 | |
---|
1829 | Arbiter_24_4 : Arbiter |
---|
1830 | |
---|
1831 | PORT MAP (Request => Request(95), North => south_2_north(23)(4), West => east_2_west(23)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), |
---|
1832 | South => south_2_north(24)(4), East => east_2_west(24)(4) , Grant => Signal_grant(24)(4)); |
---|
1833 | |
---|
1834 | Arbiter_24_5 : Arbiter |
---|
1835 | |
---|
1836 | PORT MAP (Request => Request(83), North => south_2_north(23)(5), West => east_2_west(23)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), |
---|
1837 | South => south_2_north(24)(5), East => east_2_west(24)(5) , Grant => Signal_grant(24)(5)); |
---|
1838 | |
---|
1839 | Arbiter_24_6 : Arbiter |
---|
1840 | |
---|
1841 | PORT MAP (Request => Request(71), North => south_2_north(23)(6), West => east_2_west(23)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), |
---|
1842 | South => south_2_north(24)(6), East => east_2_west(24)(6) , Grant => Signal_grant(24)(6)); |
---|
1843 | |
---|
1844 | Arbiter_24_7 : Arbiter |
---|
1845 | |
---|
1846 | PORT MAP (Request => Request(59), North => south_2_north(23)(7), West => east_2_west(23)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), |
---|
1847 | South => south_2_north(24)(7), East => east_2_west(24)(7) , Grant => Signal_grant(24)(7)); |
---|
1848 | |
---|
1849 | Arbiter_24_8 : Arbiter |
---|
1850 | |
---|
1851 | PORT MAP (Request => Request(47), North => south_2_north(23)(8), West => east_2_west(23)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), |
---|
1852 | South => south_2_north(24)(8), East => east_2_west(24)(8) , Grant => Signal_grant(24)(8)); |
---|
1853 | |
---|
1854 | Arbiter_24_9 : Arbiter |
---|
1855 | |
---|
1856 | PORT MAP (Request => Request(35), North => south_2_north(23)(9), West => east_2_west(23)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), |
---|
1857 | South => south_2_north(24)(9), East => east_2_west(24)(9) , Grant => Signal_grant(24)(9)); |
---|
1858 | |
---|
1859 | Arbiter_24_10 : Arbiter |
---|
1860 | |
---|
1861 | PORT MAP (Request => Request(23), North => south_2_north(23)(10), West => east_2_west(23)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), |
---|
1862 | South => south_2_north(24)(10), East => east_2_west(24)(10) , Grant => Signal_grant(24)(10)); |
---|
1863 | |
---|
1864 | Arbiter_24_11 : Arbiter |
---|
1865 | |
---|
1866 | PORT MAP (Request => Request(11), North => south_2_north(23)(11), West => east_2_west(23)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), |
---|
1867 | South => south_2_north(24)(11), East => east_2_west(24)(11) , Grant => Signal_grant(24)(11)); |
---|
1868 | |
---|
1869 | Arbiter_24_12 : Arbiter |
---|
1870 | |
---|
1871 | PORT MAP (Request => Request(168), North => south_2_north(23)(12), West => east_2_west(23)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), |
---|
1872 | South => south_2_north(24)(12), East => east_2_west(24)(12) , Grant => Signal_grant(24)(12)); |
---|
1873 | |
---|
1874 | Arbiter_24_13 : Arbiter |
---|
1875 | |
---|
1876 | PORT MAP (Request => Request(156), North => south_2_north(23)(13), West => east_2_west(23)(12), P => Signal_priority(2), Fifo_full => Fifo_full(13), |
---|
1877 | South => south_2_north(24)(13), East => east_2_west(24)(13) , Grant => Signal_grant(24)(13)); |
---|
1878 | |
---|
1879 | -------------------------- Diagonale n° 25 |
---|
1880 | |
---|
1881 | |
---|
1882 | Arbiter_25_1 : Arbiter |
---|
1883 | |
---|
1884 | PORT MAP (Request => Request(144), North => south_2_north(24)(1), West => east_2_west(24)(13), P => Signal_priority(1), Fifo_full => Fifo_full(1), |
---|
1885 | South => south_2_north(25)(1), East => east_2_west(25)(1) , Grant => Signal_grant(25)(1)); |
---|
1886 | |
---|
1887 | Arbiter_25_2 : Arbiter |
---|
1888 | |
---|
1889 | PORT MAP (Request => Request(132), North => south_2_north(24)(2), West => east_2_west(24)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), |
---|
1890 | South => south_2_north(25)(2), East => east_2_west(25)(2) , Grant => Signal_grant(25)(2)); |
---|
1891 | |
---|
1892 | Arbiter_25_3 : Arbiter |
---|
1893 | |
---|
1894 | PORT MAP (Request => Request(120), North => south_2_north(24)(3), West => east_2_west(24)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), |
---|
1895 | South => south_2_north(25)(3), East => east_2_west(25)(3) , Grant => Signal_grant(25)(3)); |
---|
1896 | |
---|
1897 | Arbiter_25_4 : Arbiter |
---|
1898 | |
---|
1899 | PORT MAP (Request => Request(108), North => south_2_north(24)(4), West => east_2_west(24)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), |
---|
1900 | South => south_2_north(25)(4), East => east_2_west(25)(4) , Grant => Signal_grant(25)(4)); |
---|
1901 | |
---|
1902 | Arbiter_25_5 : Arbiter |
---|
1903 | |
---|
1904 | PORT MAP (Request => Request(96), North => south_2_north(24)(5), West => east_2_west(24)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), |
---|
1905 | South => south_2_north(25)(5), East => east_2_west(25)(5) , Grant => Signal_grant(25)(5)); |
---|
1906 | |
---|
1907 | Arbiter_25_6 : Arbiter |
---|
1908 | |
---|
1909 | PORT MAP (Request => Request(84), North => south_2_north(24)(6), West => east_2_west(24)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), |
---|
1910 | South => south_2_north(25)(6), East => east_2_west(25)(6) , Grant => Signal_grant(25)(6)); |
---|
1911 | |
---|
1912 | Arbiter_25_7 : Arbiter |
---|
1913 | |
---|
1914 | PORT MAP (Request => Request(72), North => south_2_north(24)(7), West => east_2_west(24)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), |
---|
1915 | South => south_2_north(25)(7), East => east_2_west(25)(7) , Grant => Signal_grant(25)(7)); |
---|
1916 | |
---|
1917 | Arbiter_25_8 : Arbiter |
---|
1918 | |
---|
1919 | PORT MAP (Request => Request(60), North => south_2_north(24)(8), West => east_2_west(24)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), |
---|
1920 | South => south_2_north(25)(8), East => east_2_west(25)(8) , Grant => Signal_grant(25)(8)); |
---|
1921 | |
---|
1922 | Arbiter_25_9 : Arbiter |
---|
1923 | |
---|
1924 | PORT MAP (Request => Request(48), North => south_2_north(24)(9), West => east_2_west(24)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), |
---|
1925 | South => south_2_north(25)(9), East => east_2_west(25)(9) , Grant => Signal_grant(25)(9)); |
---|
1926 | |
---|
1927 | Arbiter_25_10 : Arbiter |
---|
1928 | |
---|
1929 | PORT MAP (Request => Request(36), North => south_2_north(24)(10), West => east_2_west(24)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), |
---|
1930 | South => south_2_north(25)(10), East => east_2_west(25)(10) , Grant => Signal_grant(25)(10)); |
---|
1931 | |
---|
1932 | Arbiter_25_11 : Arbiter |
---|
1933 | |
---|
1934 | PORT MAP (Request => Request(24), North => south_2_north(24)(11), West => east_2_west(24)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), |
---|
1935 | South => south_2_north(25)(11), East => east_2_west(25)(11) , Grant => Signal_grant(25)(11)); |
---|
1936 | |
---|
1937 | Arbiter_25_12 : Arbiter |
---|
1938 | |
---|
1939 | PORT MAP (Request => Request(12), North => south_2_north(24)(12), West => east_2_west(24)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), |
---|
1940 | South => south_2_north(25)(12), East => east_2_west(25)(12) , Grant => Signal_grant(25)(12)); |
---|
1941 | |
---|
1942 | Arbiter_25_13 : Arbiter |
---|
1943 | |
---|
1944 | PORT MAP (Request => Request(169), North => south_2_north(24)(13), West => east_2_west(24)(12), P => Signal_priority(1), Fifo_full => Fifo_full(13), |
---|
1945 | South => south_2_north(25)(13), East => east_2_west(25)(13) , Grant => Signal_grant(25)(13)); |
---|
1946 | |
---|
1947 | |
---|
1948 | --processus permettant de roter la priorité des diagonales à chaque front d'horloge |
---|
1949 | -- rotation round robin |
---|
1950 | round_robin : process(clk) |
---|
1951 | begin |
---|
1952 | if rising_edge(clk) then |
---|
1953 | if reset ='1' then |
---|
1954 | Signal_priority <= "1111111111111000000000000"; |
---|
1955 | elsif priority_rotation_en = '1' then |
---|
1956 | case Signal_priority is |
---|
1957 | when "1111111111111000000000000" => Signal_priority <= "0111111111111100000000000"; |
---|
1958 | when "0111111111111100000000000" => Signal_priority <= "0011111111111110000000000"; |
---|
1959 | when "0011111111111110000000000" => Signal_priority <= "0001111111111111000000000"; |
---|
1960 | when "0001111111111111000000000" => Signal_priority <= "0000111111111111100000000"; |
---|
1961 | when "0000111111111111100000000" => Signal_priority <= "0000011111111111110000000"; |
---|
1962 | when "0000011111111111110000000" => Signal_priority <= "0000001111111111111000000"; |
---|
1963 | when "0000001111111111111000000" => Signal_priority <= "0000000111111111111100000"; |
---|
1964 | when "0000000111111111111100000" => Signal_priority <= "0000000011111111111110000"; |
---|
1965 | when "0000000011111111111110000" => Signal_priority <= "0000000001111111111111000"; |
---|
1966 | when "0000000001111111111111000" => Signal_priority <= "0000000000111111111111100"; |
---|
1967 | when "0000000000111111111111100" => Signal_priority <= "0000000000011111111111110"; |
---|
1968 | when "0000000000011111111111110" => Signal_priority <= "0000000000001111111111111"; |
---|
1969 | when "0000000000001111111111111" => Signal_priority <= "1111111111111000000000000"; |
---|
1970 | when others => Signal_priority <= "1111111111111000000000000"; |
---|
1971 | end case; |
---|
1972 | end if; |
---|
1973 | end if; |
---|
1974 | end process; |
---|
1975 | |
---|
1976 | end Behavioral; |
---|
1977 | |
---|