source: PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.02/SCHEDULER14_14.VHD @ 93

Last change on this file since 93 was 22, checked in by rolagamo, 12 years ago
File size: 119.9 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler14_14 is
32    Port ( Request : in  STD_LOGIC_VECTOR (196 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (14 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (14 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (196 downto 1));
38end Scheduler14_14;
39
40architecture Behavioral of Scheduler14_14 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(27 downto 1) of STD_LOGIC_VECTOR(14 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (27 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(196 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant :  std_logic_vector(196 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61 priority_rotation_en <= '1' when unsigned(priority_rotation) = 16383 else      '0';
62--latch servant qui memorise le signal grant pendant a transmission
63grant_latch_process : process(clk)
64 begin
65  if rising_edge(clk) then
66   if reset = '1' then
67                grant_latch <= (others => '0');
68         elsif priority_rotation_en = '1' then
69           grant_latch <= Grant;
70   end if;
71   end if;
72 end process;
73 port_grant <= Grant and grant_latch;
74 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(15)(1); --  Grant(1,1)
75Grant(2)  <= Signal_grant(2)(2) or Signal_grant(16)(2); --  Grant(1,2)
76Grant(3)  <= Signal_grant(3)(3) or Signal_grant(17)(3); --  Grant(1,3)
77Grant(4)  <= Signal_grant(4)(4) or Signal_grant(18)(4); --  Grant(1,4)
78Grant(5)  <= Signal_grant(5)(5) or Signal_grant(19)(5); --  Grant(1,5)
79Grant(6)  <= Signal_grant(6)(6) or Signal_grant(20)(6); --  Grant(1,6)
80Grant(7)  <= Signal_grant(7)(7) or Signal_grant(21)(7); --  Grant(1,7)
81Grant(8)  <= Signal_grant(8)(8) or Signal_grant(22)(8); --  Grant(1,8)
82Grant(9)  <= Signal_grant(9)(9) or Signal_grant(23)(9); --  Grant(1,9)
83Grant(10)  <= Signal_grant(10)(10) or Signal_grant(24)(10); --  Grant(1,10)
84Grant(11)  <= Signal_grant(11)(11) or Signal_grant(25)(11); --  Grant(1,11)
85Grant(12)  <= Signal_grant(12)(12) or Signal_grant(26)(12); --  Grant(1,12)
86Grant(13)  <= Signal_grant(13)(13) or Signal_grant(27)(13); --  Grant(1,13)
87Grant(14)  <= Signal_grant(14)(14) ;                      --  Grant(1,14)
88Grant(15)  <= Signal_grant(2)(1) or Signal_grant(16)(1); --  Grant(2,1)
89Grant(16)  <= Signal_grant(3)(2) or Signal_grant(17)(2); --  Grant(2,2)
90Grant(17)  <= Signal_grant(4)(3) or Signal_grant(18)(3); --  Grant(2,3)
91Grant(18)  <= Signal_grant(5)(4) or Signal_grant(19)(4); --  Grant(2,4)
92Grant(19)  <= Signal_grant(6)(5) or Signal_grant(20)(5); --  Grant(2,5)
93Grant(20)  <= Signal_grant(7)(6) or Signal_grant(21)(6); --  Grant(2,6)
94Grant(21)  <= Signal_grant(8)(7) or Signal_grant(22)(7); --  Grant(2,7)
95Grant(22)  <= Signal_grant(9)(8) or Signal_grant(23)(8); --  Grant(2,8)
96Grant(23)  <= Signal_grant(10)(9) or Signal_grant(24)(9); --  Grant(2,9)
97Grant(24)  <= Signal_grant(11)(10) or Signal_grant(25)(10); --  Grant(2,10)
98Grant(25)  <= Signal_grant(12)(11) or Signal_grant(26)(11); --  Grant(2,11)
99Grant(26)  <= Signal_grant(13)(12) or Signal_grant(27)(12); --  Grant(2,12)
100Grant(27)  <= Signal_grant(14)(13) ;                      --  Grant(2,13)
101Grant(28)  <= Signal_grant(1)(14) or Signal_grant(15)(14); --  Grant(2,14)
102Grant(29)  <= Signal_grant(3)(1) or Signal_grant(17)(1); --  Grant(3,1)
103Grant(30)  <= Signal_grant(4)(2) or Signal_grant(18)(2); --  Grant(3,2)
104Grant(31)  <= Signal_grant(5)(3) or Signal_grant(19)(3); --  Grant(3,3)
105Grant(32)  <= Signal_grant(6)(4) or Signal_grant(20)(4); --  Grant(3,4)
106Grant(33)  <= Signal_grant(7)(5) or Signal_grant(21)(5); --  Grant(3,5)
107Grant(34)  <= Signal_grant(8)(6) or Signal_grant(22)(6); --  Grant(3,6)
108Grant(35)  <= Signal_grant(9)(7) or Signal_grant(23)(7); --  Grant(3,7)
109Grant(36)  <= Signal_grant(10)(8) or Signal_grant(24)(8); --  Grant(3,8)
110Grant(37)  <= Signal_grant(11)(9) or Signal_grant(25)(9); --  Grant(3,9)
111Grant(38)  <= Signal_grant(12)(10) or Signal_grant(26)(10); --  Grant(3,10)
112Grant(39)  <= Signal_grant(13)(11) or Signal_grant(27)(11); --  Grant(3,11)
113Grant(40)  <= Signal_grant(14)(12) ;                      --  Grant(3,12)
114Grant(41)  <= Signal_grant(1)(13) or Signal_grant(15)(13); --  Grant(3,13)
115Grant(42)  <= Signal_grant(2)(14) or Signal_grant(16)(14); --  Grant(3,14)
116Grant(43)  <= Signal_grant(4)(1) or Signal_grant(18)(1); --  Grant(4,1)
117Grant(44)  <= Signal_grant(5)(2) or Signal_grant(19)(2); --  Grant(4,2)
118Grant(45)  <= Signal_grant(6)(3) or Signal_grant(20)(3); --  Grant(4,3)
119Grant(46)  <= Signal_grant(7)(4) or Signal_grant(21)(4); --  Grant(4,4)
120Grant(47)  <= Signal_grant(8)(5) or Signal_grant(22)(5); --  Grant(4,5)
121Grant(48)  <= Signal_grant(9)(6) or Signal_grant(23)(6); --  Grant(4,6)
122Grant(49)  <= Signal_grant(10)(7) or Signal_grant(24)(7); --  Grant(4,7)
123Grant(50)  <= Signal_grant(11)(8) or Signal_grant(25)(8); --  Grant(4,8)
124Grant(51)  <= Signal_grant(12)(9) or Signal_grant(26)(9); --  Grant(4,9)
125Grant(52)  <= Signal_grant(13)(10) or Signal_grant(27)(10); --  Grant(4,10)
126Grant(53)  <= Signal_grant(14)(11) ;                      --  Grant(4,11)
127Grant(54)  <= Signal_grant(1)(12) or Signal_grant(15)(12); --  Grant(4,12)
128Grant(55)  <= Signal_grant(2)(13) or Signal_grant(16)(13); --  Grant(4,13)
129Grant(56)  <= Signal_grant(3)(14) or Signal_grant(17)(14); --  Grant(4,14)
130Grant(57)  <= Signal_grant(5)(1) or Signal_grant(19)(1); --  Grant(5,1)
131Grant(58)  <= Signal_grant(6)(2) or Signal_grant(20)(2); --  Grant(5,2)
132Grant(59)  <= Signal_grant(7)(3) or Signal_grant(21)(3); --  Grant(5,3)
133Grant(60)  <= Signal_grant(8)(4) or Signal_grant(22)(4); --  Grant(5,4)
134Grant(61)  <= Signal_grant(9)(5) or Signal_grant(23)(5); --  Grant(5,5)
135Grant(62)  <= Signal_grant(10)(6) or Signal_grant(24)(6); --  Grant(5,6)
136Grant(63)  <= Signal_grant(11)(7) or Signal_grant(25)(7); --  Grant(5,7)
137Grant(64)  <= Signal_grant(12)(8) or Signal_grant(26)(8); --  Grant(5,8)
138Grant(65)  <= Signal_grant(13)(9) or Signal_grant(27)(9); --  Grant(5,9)
139Grant(66)  <= Signal_grant(14)(10) ;                      --  Grant(5,10)
140Grant(67)  <= Signal_grant(1)(11) or Signal_grant(15)(11); --  Grant(5,11)
141Grant(68)  <= Signal_grant(2)(12) or Signal_grant(16)(12); --  Grant(5,12)
142Grant(69)  <= Signal_grant(3)(13) or Signal_grant(17)(13); --  Grant(5,13)
143Grant(70)  <= Signal_grant(4)(14) or Signal_grant(18)(14); --  Grant(5,14)
144Grant(71)  <= Signal_grant(6)(1) or Signal_grant(20)(1); --  Grant(6,1)
145Grant(72)  <= Signal_grant(7)(2) or Signal_grant(21)(2); --  Grant(6,2)
146Grant(73)  <= Signal_grant(8)(3) or Signal_grant(22)(3); --  Grant(6,3)
147Grant(74)  <= Signal_grant(9)(4) or Signal_grant(23)(4); --  Grant(6,4)
148Grant(75)  <= Signal_grant(10)(5) or Signal_grant(24)(5); --  Grant(6,5)
149Grant(76)  <= Signal_grant(11)(6) or Signal_grant(25)(6); --  Grant(6,6)
150Grant(77)  <= Signal_grant(12)(7) or Signal_grant(26)(7); --  Grant(6,7)
151Grant(78)  <= Signal_grant(13)(8) or Signal_grant(27)(8); --  Grant(6,8)
152Grant(79)  <= Signal_grant(14)(9) ;                      --  Grant(6,9)
153Grant(80)  <= Signal_grant(1)(10) or Signal_grant(15)(10); --  Grant(6,10)
154Grant(81)  <= Signal_grant(2)(11) or Signal_grant(16)(11); --  Grant(6,11)
155Grant(82)  <= Signal_grant(3)(12) or Signal_grant(17)(12); --  Grant(6,12)
156Grant(83)  <= Signal_grant(4)(13) or Signal_grant(18)(13); --  Grant(6,13)
157Grant(84)  <= Signal_grant(5)(14) or Signal_grant(19)(14); --  Grant(6,14)
158Grant(85)  <= Signal_grant(7)(1) or Signal_grant(21)(1); --  Grant(7,1)
159Grant(86)  <= Signal_grant(8)(2) or Signal_grant(22)(2); --  Grant(7,2)
160Grant(87)  <= Signal_grant(9)(3) or Signal_grant(23)(3); --  Grant(7,3)
161Grant(88)  <= Signal_grant(10)(4) or Signal_grant(24)(4); --  Grant(7,4)
162Grant(89)  <= Signal_grant(11)(5) or Signal_grant(25)(5); --  Grant(7,5)
163Grant(90)  <= Signal_grant(12)(6) or Signal_grant(26)(6); --  Grant(7,6)
164Grant(91)  <= Signal_grant(13)(7) or Signal_grant(27)(7); --  Grant(7,7)
165Grant(92)  <= Signal_grant(14)(8) ;                      --  Grant(7,8)
166Grant(93)  <= Signal_grant(1)(9) or Signal_grant(15)(9); --  Grant(7,9)
167Grant(94)  <= Signal_grant(2)(10) or Signal_grant(16)(10); --  Grant(7,10)
168Grant(95)  <= Signal_grant(3)(11) or Signal_grant(17)(11); --  Grant(7,11)
169Grant(96)  <= Signal_grant(4)(12) or Signal_grant(18)(12); --  Grant(7,12)
170Grant(97)  <= Signal_grant(5)(13) or Signal_grant(19)(13); --  Grant(7,13)
171Grant(98)  <= Signal_grant(6)(14) or Signal_grant(20)(14); --  Grant(7,14)
172Grant(99)  <= Signal_grant(8)(1) or Signal_grant(22)(1); --  Grant(8,1)
173Grant(100)  <= Signal_grant(9)(2) or Signal_grant(23)(2); --  Grant(8,2)
174Grant(101)  <= Signal_grant(10)(3) or Signal_grant(24)(3); --  Grant(8,3)
175Grant(102)  <= Signal_grant(11)(4) or Signal_grant(25)(4); --  Grant(8,4)
176Grant(103)  <= Signal_grant(12)(5) or Signal_grant(26)(5); --  Grant(8,5)
177Grant(104)  <= Signal_grant(13)(6) or Signal_grant(27)(6); --  Grant(8,6)
178Grant(105)  <= Signal_grant(14)(7) ;                      --  Grant(8,7)
179Grant(106)  <= Signal_grant(1)(8) or Signal_grant(15)(8); --  Grant(8,8)
180Grant(107)  <= Signal_grant(2)(9) or Signal_grant(16)(9); --  Grant(8,9)
181Grant(108)  <= Signal_grant(3)(10) or Signal_grant(17)(10); --  Grant(8,10)
182Grant(109)  <= Signal_grant(4)(11) or Signal_grant(18)(11); --  Grant(8,11)
183Grant(110)  <= Signal_grant(5)(12) or Signal_grant(19)(12); --  Grant(8,12)
184Grant(111)  <= Signal_grant(6)(13) or Signal_grant(20)(13); --  Grant(8,13)
185Grant(112)  <= Signal_grant(7)(14) or Signal_grant(21)(14); --  Grant(8,14)
186Grant(113)  <= Signal_grant(9)(1) or Signal_grant(23)(1); --  Grant(9,1)
187Grant(114)  <= Signal_grant(10)(2) or Signal_grant(24)(2); --  Grant(9,2)
188Grant(115)  <= Signal_grant(11)(3) or Signal_grant(25)(3); --  Grant(9,3)
189Grant(116)  <= Signal_grant(12)(4) or Signal_grant(26)(4); --  Grant(9,4)
190Grant(117)  <= Signal_grant(13)(5) or Signal_grant(27)(5); --  Grant(9,5)
191Grant(118)  <= Signal_grant(14)(6) ;                      --  Grant(9,6)
192Grant(119)  <= Signal_grant(1)(7) or Signal_grant(15)(7); --  Grant(9,7)
193Grant(120)  <= Signal_grant(2)(8) or Signal_grant(16)(8); --  Grant(9,8)
194Grant(121)  <= Signal_grant(3)(9) or Signal_grant(17)(9); --  Grant(9,9)
195Grant(122)  <= Signal_grant(4)(10) or Signal_grant(18)(10); --  Grant(9,10)
196Grant(123)  <= Signal_grant(5)(11) or Signal_grant(19)(11); --  Grant(9,11)
197Grant(124)  <= Signal_grant(6)(12) or Signal_grant(20)(12); --  Grant(9,12)
198Grant(125)  <= Signal_grant(7)(13) or Signal_grant(21)(13); --  Grant(9,13)
199Grant(126)  <= Signal_grant(8)(14) or Signal_grant(22)(14); --  Grant(9,14)
200Grant(127)  <= Signal_grant(10)(1) or Signal_grant(24)(1); --  Grant(10,1)
201Grant(128)  <= Signal_grant(11)(2) or Signal_grant(25)(2); --  Grant(10,2)
202Grant(129)  <= Signal_grant(12)(3) or Signal_grant(26)(3); --  Grant(10,3)
203Grant(130)  <= Signal_grant(13)(4) or Signal_grant(27)(4); --  Grant(10,4)
204Grant(131)  <= Signal_grant(14)(5) ;                      --  Grant(10,5)
205Grant(132)  <= Signal_grant(1)(6) or Signal_grant(15)(6); --  Grant(10,6)
206Grant(133)  <= Signal_grant(2)(7) or Signal_grant(16)(7); --  Grant(10,7)
207Grant(134)  <= Signal_grant(3)(8) or Signal_grant(17)(8); --  Grant(10,8)
208Grant(135)  <= Signal_grant(4)(9) or Signal_grant(18)(9); --  Grant(10,9)
209Grant(136)  <= Signal_grant(5)(10) or Signal_grant(19)(10); --  Grant(10,10)
210Grant(137)  <= Signal_grant(6)(11) or Signal_grant(20)(11); --  Grant(10,11)
211Grant(138)  <= Signal_grant(7)(12) or Signal_grant(21)(12); --  Grant(10,12)
212Grant(139)  <= Signal_grant(8)(13) or Signal_grant(22)(13); --  Grant(10,13)
213Grant(140)  <= Signal_grant(9)(14) or Signal_grant(23)(14); --  Grant(10,14)
214Grant(141)  <= Signal_grant(11)(1) or Signal_grant(25)(1); --  Grant(11,1)
215Grant(142)  <= Signal_grant(12)(2) or Signal_grant(26)(2); --  Grant(11,2)
216Grant(143)  <= Signal_grant(13)(3) or Signal_grant(27)(3); --  Grant(11,3)
217Grant(144)  <= Signal_grant(14)(4) ;                      --  Grant(11,4)
218Grant(145)  <= Signal_grant(1)(5) or Signal_grant(15)(5); --  Grant(11,5)
219Grant(146)  <= Signal_grant(2)(6) or Signal_grant(16)(6); --  Grant(11,6)
220Grant(147)  <= Signal_grant(3)(7) or Signal_grant(17)(7); --  Grant(11,7)
221Grant(148)  <= Signal_grant(4)(8) or Signal_grant(18)(8); --  Grant(11,8)
222Grant(149)  <= Signal_grant(5)(9) or Signal_grant(19)(9); --  Grant(11,9)
223Grant(150)  <= Signal_grant(6)(10) or Signal_grant(20)(10); --  Grant(11,10)
224Grant(151)  <= Signal_grant(7)(11) or Signal_grant(21)(11); --  Grant(11,11)
225Grant(152)  <= Signal_grant(8)(12) or Signal_grant(22)(12); --  Grant(11,12)
226Grant(153)  <= Signal_grant(9)(13) or Signal_grant(23)(13); --  Grant(11,13)
227Grant(154)  <= Signal_grant(10)(14) or Signal_grant(24)(14); --  Grant(11,14)
228Grant(155)  <= Signal_grant(12)(1) or Signal_grant(26)(1); --  Grant(12,1)
229Grant(156)  <= Signal_grant(13)(2) or Signal_grant(27)(2); --  Grant(12,2)
230Grant(157)  <= Signal_grant(14)(3) ;                      --  Grant(12,3)
231Grant(158)  <= Signal_grant(1)(4) or Signal_grant(15)(4); --  Grant(12,4)
232Grant(159)  <= Signal_grant(2)(5) or Signal_grant(16)(5); --  Grant(12,5)
233Grant(160)  <= Signal_grant(3)(6) or Signal_grant(17)(6); --  Grant(12,6)
234Grant(161)  <= Signal_grant(4)(7) or Signal_grant(18)(7); --  Grant(12,7)
235Grant(162)  <= Signal_grant(5)(8) or Signal_grant(19)(8); --  Grant(12,8)
236Grant(163)  <= Signal_grant(6)(9) or Signal_grant(20)(9); --  Grant(12,9)
237Grant(164)  <= Signal_grant(7)(10) or Signal_grant(21)(10); --  Grant(12,10)
238Grant(165)  <= Signal_grant(8)(11) or Signal_grant(22)(11); --  Grant(12,11)
239Grant(166)  <= Signal_grant(9)(12) or Signal_grant(23)(12); --  Grant(12,12)
240Grant(167)  <= Signal_grant(10)(13) or Signal_grant(24)(13); --  Grant(12,13)
241Grant(168)  <= Signal_grant(11)(14) or Signal_grant(25)(14); --  Grant(12,14)
242Grant(169)  <= Signal_grant(13)(1) or Signal_grant(27)(1); --  Grant(13,1)
243Grant(170)  <= Signal_grant(14)(2) ;                      --  Grant(13,2)
244Grant(171)  <= Signal_grant(1)(3) or Signal_grant(15)(3); --  Grant(13,3)
245Grant(172)  <= Signal_grant(2)(4) or Signal_grant(16)(4); --  Grant(13,4)
246Grant(173)  <= Signal_grant(3)(5) or Signal_grant(17)(5); --  Grant(13,5)
247Grant(174)  <= Signal_grant(4)(6) or Signal_grant(18)(6); --  Grant(13,6)
248Grant(175)  <= Signal_grant(5)(7) or Signal_grant(19)(7); --  Grant(13,7)
249Grant(176)  <= Signal_grant(6)(8) or Signal_grant(20)(8); --  Grant(13,8)
250Grant(177)  <= Signal_grant(7)(9) or Signal_grant(21)(9); --  Grant(13,9)
251Grant(178)  <= Signal_grant(8)(10) or Signal_grant(22)(10); --  Grant(13,10)
252Grant(179)  <= Signal_grant(9)(11) or Signal_grant(23)(11); --  Grant(13,11)
253Grant(180)  <= Signal_grant(10)(12) or Signal_grant(24)(12); --  Grant(13,12)
254Grant(181)  <= Signal_grant(11)(13) or Signal_grant(25)(13); --  Grant(13,13)
255Grant(182)  <= Signal_grant(12)(14) or Signal_grant(26)(14); --  Grant(13,14)
256Grant(183)  <= Signal_grant(14)(1) ;                      --  Grant(14,1)
257Grant(184)  <= Signal_grant(1)(2) or Signal_grant(15)(2); --  Grant(14,2)
258Grant(185)  <= Signal_grant(2)(3) or Signal_grant(16)(3); --  Grant(14,3)
259Grant(186)  <= Signal_grant(3)(4) or Signal_grant(17)(4); --  Grant(14,4)
260Grant(187)  <= Signal_grant(4)(5) or Signal_grant(18)(5); --  Grant(14,5)
261Grant(188)  <= Signal_grant(5)(6) or Signal_grant(19)(6); --  Grant(14,6)
262Grant(189)  <= Signal_grant(6)(7) or Signal_grant(20)(7); --  Grant(14,7)
263Grant(190)  <= Signal_grant(7)(8) or Signal_grant(21)(8); --  Grant(14,8)
264Grant(191)  <= Signal_grant(8)(9) or Signal_grant(22)(9); --  Grant(14,9)
265Grant(192)  <= Signal_grant(9)(10) or Signal_grant(23)(10); --  Grant(14,10)
266Grant(193)  <= Signal_grant(10)(11) or Signal_grant(24)(11); --  Grant(14,11)
267Grant(194)  <= Signal_grant(11)(12) or Signal_grant(25)(12); --  Grant(14,12)
268Grant(195)  <= Signal_grant(12)(13) or Signal_grant(26)(13); --  Grant(14,13)
269Grant(196)  <= Signal_grant(13)(14) or Signal_grant(27)(14); --  Grant(14,14)
270High <= '1';
271
272----instantiations des cellules arbitres et interconnection
273
274-------------------------- Diagonale n° 1
275
276
277Arbiter_1_1 : Arbiter
278
279PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(1), 
280South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
281
282Arbiter_1_2 : Arbiter
283
284PORT MAP (Request => Request(184), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(2), 
285South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
286
287Arbiter_1_3 : Arbiter
288
289PORT MAP (Request => Request(171), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(3), 
290South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
291
292Arbiter_1_4 : Arbiter
293
294PORT MAP (Request => Request(158), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(4), 
295South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
296
297Arbiter_1_5 : Arbiter
298
299PORT MAP (Request => Request(145), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(5), 
300South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
301
302Arbiter_1_6 : Arbiter
303
304PORT MAP (Request => Request(132), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(6), 
305South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
306
307Arbiter_1_7 : Arbiter
308
309PORT MAP (Request => Request(119), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(7), 
310South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
311
312Arbiter_1_8 : Arbiter
313
314PORT MAP (Request => Request(106), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(8), 
315South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
316
317Arbiter_1_9 : Arbiter
318
319PORT MAP (Request => Request(93), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(9), 
320South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
321
322Arbiter_1_10 : Arbiter
323
324PORT MAP (Request => Request(80), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(10), 
325South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
326
327Arbiter_1_11 : Arbiter
328
329PORT MAP (Request => Request(67), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(11), 
330South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
331
332Arbiter_1_12 : Arbiter
333
334PORT MAP (Request => Request(54), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(12), 
335South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
336
337Arbiter_1_13 : Arbiter
338
339PORT MAP (Request => Request(41), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(13), 
340South => south_2_north(1)(13), East => east_2_west(1)(13) , Grant => Signal_grant(1)(13));
341
342Arbiter_1_14 : Arbiter
343
344PORT MAP (Request => Request(28), North => High, West => High, P => Signal_priority(27), Fifo_full => Fifo_full(14), 
345South => south_2_north(1)(14), East => east_2_west(1)(14) , Grant => Signal_grant(1)(14));
346
347-------------------------- Diagonale n° 2
348
349
350Arbiter_2_1 : Arbiter
351
352PORT MAP (Request => Request(15), North => south_2_north(1)(1), West => east_2_west(1)(14), P => Signal_priority(26), Fifo_full => Fifo_full(1), 
353South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
354
355Arbiter_2_2 : Arbiter
356
357PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(26), Fifo_full => Fifo_full(2), 
358South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
359
360Arbiter_2_3 : Arbiter
361
362PORT MAP (Request => Request(185), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(26), Fifo_full => Fifo_full(3), 
363South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
364
365Arbiter_2_4 : Arbiter
366
367PORT MAP (Request => Request(172), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(26), Fifo_full => Fifo_full(4), 
368South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
369
370Arbiter_2_5 : Arbiter
371
372PORT MAP (Request => Request(159), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(26), Fifo_full => Fifo_full(5), 
373South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
374
375Arbiter_2_6 : Arbiter
376
377PORT MAP (Request => Request(146), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(26), Fifo_full => Fifo_full(6), 
378South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
379
380Arbiter_2_7 : Arbiter
381
382PORT MAP (Request => Request(133), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(26), Fifo_full => Fifo_full(7), 
383South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
384
385Arbiter_2_8 : Arbiter
386
387PORT MAP (Request => Request(120), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(26), Fifo_full => Fifo_full(8), 
388South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
389
390Arbiter_2_9 : Arbiter
391
392PORT MAP (Request => Request(107), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(26), Fifo_full => Fifo_full(9), 
393South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
394
395Arbiter_2_10 : Arbiter
396
397PORT MAP (Request => Request(94), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(26), Fifo_full => Fifo_full(10), 
398South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
399
400Arbiter_2_11 : Arbiter
401
402PORT MAP (Request => Request(81), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(26), Fifo_full => Fifo_full(11), 
403South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
404
405Arbiter_2_12 : Arbiter
406
407PORT MAP (Request => Request(68), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(26), Fifo_full => Fifo_full(12), 
408South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
409
410Arbiter_2_13 : Arbiter
411
412PORT MAP (Request => Request(55), North => south_2_north(1)(13), West => east_2_west(1)(12), P => Signal_priority(26), Fifo_full => Fifo_full(13), 
413South => south_2_north(2)(13), East => east_2_west(2)(13) , Grant => Signal_grant(2)(13));
414
415Arbiter_2_14 : Arbiter
416
417PORT MAP (Request => Request(42), North => south_2_north(1)(14), West => east_2_west(1)(13), P => Signal_priority(26), Fifo_full => Fifo_full(14), 
418South => south_2_north(2)(14), East => east_2_west(2)(14) , Grant => Signal_grant(2)(14));
419
420-------------------------- Diagonale n° 3
421
422
423Arbiter_3_1 : Arbiter
424
425PORT MAP (Request => Request(29), North => south_2_north(2)(1), West => east_2_west(2)(14), P => Signal_priority(25), Fifo_full => Fifo_full(1), 
426South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
427
428Arbiter_3_2 : Arbiter
429
430PORT MAP (Request => Request(16), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(25), Fifo_full => Fifo_full(2), 
431South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
432
433Arbiter_3_3 : Arbiter
434
435PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(25), Fifo_full => Fifo_full(3), 
436South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
437
438Arbiter_3_4 : Arbiter
439
440PORT MAP (Request => Request(186), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(25), Fifo_full => Fifo_full(4), 
441South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
442
443Arbiter_3_5 : Arbiter
444
445PORT MAP (Request => Request(173), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(25), Fifo_full => Fifo_full(5), 
446South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
447
448Arbiter_3_6 : Arbiter
449
450PORT MAP (Request => Request(160), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(25), Fifo_full => Fifo_full(6), 
451South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
452
453Arbiter_3_7 : Arbiter
454
455PORT MAP (Request => Request(147), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(25), Fifo_full => Fifo_full(7), 
456South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
457
458Arbiter_3_8 : Arbiter
459
460PORT MAP (Request => Request(134), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(25), Fifo_full => Fifo_full(8), 
461South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
462
463Arbiter_3_9 : Arbiter
464
465PORT MAP (Request => Request(121), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(25), Fifo_full => Fifo_full(9), 
466South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
467
468Arbiter_3_10 : Arbiter
469
470PORT MAP (Request => Request(108), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(25), Fifo_full => Fifo_full(10), 
471South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
472
473Arbiter_3_11 : Arbiter
474
475PORT MAP (Request => Request(95), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(25), Fifo_full => Fifo_full(11), 
476South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
477
478Arbiter_3_12 : Arbiter
479
480PORT MAP (Request => Request(82), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(25), Fifo_full => Fifo_full(12), 
481South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
482
483Arbiter_3_13 : Arbiter
484
485PORT MAP (Request => Request(69), North => south_2_north(2)(13), West => east_2_west(2)(12), P => Signal_priority(25), Fifo_full => Fifo_full(13), 
486South => south_2_north(3)(13), East => east_2_west(3)(13) , Grant => Signal_grant(3)(13));
487
488Arbiter_3_14 : Arbiter
489
490PORT MAP (Request => Request(56), North => south_2_north(2)(14), West => east_2_west(2)(13), P => Signal_priority(25), Fifo_full => Fifo_full(14), 
491South => south_2_north(3)(14), East => east_2_west(3)(14) , Grant => Signal_grant(3)(14));
492
493-------------------------- Diagonale n° 4
494
495
496Arbiter_4_1 : Arbiter
497
498PORT MAP (Request => Request(43), North => south_2_north(3)(1), West => east_2_west(3)(14), P => Signal_priority(24), Fifo_full => Fifo_full(1), 
499South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
500
501Arbiter_4_2 : Arbiter
502
503PORT MAP (Request => Request(30), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(24), Fifo_full => Fifo_full(2), 
504South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
505
506Arbiter_4_3 : Arbiter
507
508PORT MAP (Request => Request(17), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(24), Fifo_full => Fifo_full(3), 
509South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
510
511Arbiter_4_4 : Arbiter
512
513PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(24), Fifo_full => Fifo_full(4), 
514South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
515
516Arbiter_4_5 : Arbiter
517
518PORT MAP (Request => Request(187), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(24), Fifo_full => Fifo_full(5), 
519South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
520
521Arbiter_4_6 : Arbiter
522
523PORT MAP (Request => Request(174), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(24), Fifo_full => Fifo_full(6), 
524South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
525
526Arbiter_4_7 : Arbiter
527
528PORT MAP (Request => Request(161), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(24), Fifo_full => Fifo_full(7), 
529South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
530
531Arbiter_4_8 : Arbiter
532
533PORT MAP (Request => Request(148), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(24), Fifo_full => Fifo_full(8), 
534South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
535
536Arbiter_4_9 : Arbiter
537
538PORT MAP (Request => Request(135), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(24), Fifo_full => Fifo_full(9), 
539South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
540
541Arbiter_4_10 : Arbiter
542
543PORT MAP (Request => Request(122), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(24), Fifo_full => Fifo_full(10), 
544South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
545
546Arbiter_4_11 : Arbiter
547
548PORT MAP (Request => Request(109), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(24), Fifo_full => Fifo_full(11), 
549South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
550
551Arbiter_4_12 : Arbiter
552
553PORT MAP (Request => Request(96), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(24), Fifo_full => Fifo_full(12), 
554South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
555
556Arbiter_4_13 : Arbiter
557
558PORT MAP (Request => Request(83), North => south_2_north(3)(13), West => east_2_west(3)(12), P => Signal_priority(24), Fifo_full => Fifo_full(13), 
559South => south_2_north(4)(13), East => east_2_west(4)(13) , Grant => Signal_grant(4)(13));
560
561Arbiter_4_14 : Arbiter
562
563PORT MAP (Request => Request(70), North => south_2_north(3)(14), West => east_2_west(3)(13), P => Signal_priority(24), Fifo_full => Fifo_full(14), 
564South => south_2_north(4)(14), East => east_2_west(4)(14) , Grant => Signal_grant(4)(14));
565
566-------------------------- Diagonale n° 5
567
568
569Arbiter_5_1 : Arbiter
570
571PORT MAP (Request => Request(57), North => south_2_north(4)(1), West => east_2_west(4)(14), P => Signal_priority(23), Fifo_full => Fifo_full(1), 
572South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
573
574Arbiter_5_2 : Arbiter
575
576PORT MAP (Request => Request(44), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(23), Fifo_full => Fifo_full(2), 
577South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
578
579Arbiter_5_3 : Arbiter
580
581PORT MAP (Request => Request(31), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(23), Fifo_full => Fifo_full(3), 
582South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
583
584Arbiter_5_4 : Arbiter
585
586PORT MAP (Request => Request(18), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(23), Fifo_full => Fifo_full(4), 
587South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
588
589Arbiter_5_5 : Arbiter
590
591PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(23), Fifo_full => Fifo_full(5), 
592South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
593
594Arbiter_5_6 : Arbiter
595
596PORT MAP (Request => Request(188), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(23), Fifo_full => Fifo_full(6), 
597South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
598
599Arbiter_5_7 : Arbiter
600
601PORT MAP (Request => Request(175), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(23), Fifo_full => Fifo_full(7), 
602South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
603
604Arbiter_5_8 : Arbiter
605
606PORT MAP (Request => Request(162), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(23), Fifo_full => Fifo_full(8), 
607South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
608
609Arbiter_5_9 : Arbiter
610
611PORT MAP (Request => Request(149), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(23), Fifo_full => Fifo_full(9), 
612South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
613
614Arbiter_5_10 : Arbiter
615
616PORT MAP (Request => Request(136), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(23), Fifo_full => Fifo_full(10), 
617South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
618
619Arbiter_5_11 : Arbiter
620
621PORT MAP (Request => Request(123), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(23), Fifo_full => Fifo_full(11), 
622South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
623
624Arbiter_5_12 : Arbiter
625
626PORT MAP (Request => Request(110), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(23), Fifo_full => Fifo_full(12), 
627South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
628
629Arbiter_5_13 : Arbiter
630
631PORT MAP (Request => Request(97), North => south_2_north(4)(13), West => east_2_west(4)(12), P => Signal_priority(23), Fifo_full => Fifo_full(13), 
632South => south_2_north(5)(13), East => east_2_west(5)(13) , Grant => Signal_grant(5)(13));
633
634Arbiter_5_14 : Arbiter
635
636PORT MAP (Request => Request(84), North => south_2_north(4)(14), West => east_2_west(4)(13), P => Signal_priority(23), Fifo_full => Fifo_full(14), 
637South => south_2_north(5)(14), East => east_2_west(5)(14) , Grant => Signal_grant(5)(14));
638
639-------------------------- Diagonale n° 6
640
641
642Arbiter_6_1 : Arbiter
643
644PORT MAP (Request => Request(71), North => south_2_north(5)(1), West => east_2_west(5)(14), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
645South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
646
647Arbiter_6_2 : Arbiter
648
649PORT MAP (Request => Request(58), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
650South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
651
652Arbiter_6_3 : Arbiter
653
654PORT MAP (Request => Request(45), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
655South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
656
657Arbiter_6_4 : Arbiter
658
659PORT MAP (Request => Request(32), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
660South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
661
662Arbiter_6_5 : Arbiter
663
664PORT MAP (Request => Request(19), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
665South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
666
667Arbiter_6_6 : Arbiter
668
669PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
670South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
671
672Arbiter_6_7 : Arbiter
673
674PORT MAP (Request => Request(189), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
675South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
676
677Arbiter_6_8 : Arbiter
678
679PORT MAP (Request => Request(176), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
680South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
681
682Arbiter_6_9 : Arbiter
683
684PORT MAP (Request => Request(163), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
685South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
686
687Arbiter_6_10 : Arbiter
688
689PORT MAP (Request => Request(150), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
690South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
691
692Arbiter_6_11 : Arbiter
693
694PORT MAP (Request => Request(137), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
695South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
696
697Arbiter_6_12 : Arbiter
698
699PORT MAP (Request => Request(124), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
700South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
701
702Arbiter_6_13 : Arbiter
703
704PORT MAP (Request => Request(111), North => south_2_north(5)(13), West => east_2_west(5)(12), P => Signal_priority(22), Fifo_full => Fifo_full(13), 
705South => south_2_north(6)(13), East => east_2_west(6)(13) , Grant => Signal_grant(6)(13));
706
707Arbiter_6_14 : Arbiter
708
709PORT MAP (Request => Request(98), North => south_2_north(5)(14), West => east_2_west(5)(13), P => Signal_priority(22), Fifo_full => Fifo_full(14), 
710South => south_2_north(6)(14), East => east_2_west(6)(14) , Grant => Signal_grant(6)(14));
711
712-------------------------- Diagonale n° 7
713
714
715Arbiter_7_1 : Arbiter
716
717PORT MAP (Request => Request(85), North => south_2_north(6)(1), West => east_2_west(6)(14), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
718South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
719
720Arbiter_7_2 : Arbiter
721
722PORT MAP (Request => Request(72), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
723South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
724
725Arbiter_7_3 : Arbiter
726
727PORT MAP (Request => Request(59), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
728South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
729
730Arbiter_7_4 : Arbiter
731
732PORT MAP (Request => Request(46), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
733South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
734
735Arbiter_7_5 : Arbiter
736
737PORT MAP (Request => Request(33), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
738South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
739
740Arbiter_7_6 : Arbiter
741
742PORT MAP (Request => Request(20), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
743South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
744
745Arbiter_7_7 : Arbiter
746
747PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
748South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
749
750Arbiter_7_8 : Arbiter
751
752PORT MAP (Request => Request(190), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
753South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
754
755Arbiter_7_9 : Arbiter
756
757PORT MAP (Request => Request(177), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
758South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
759
760Arbiter_7_10 : Arbiter
761
762PORT MAP (Request => Request(164), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
763South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
764
765Arbiter_7_11 : Arbiter
766
767PORT MAP (Request => Request(151), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
768South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
769
770Arbiter_7_12 : Arbiter
771
772PORT MAP (Request => Request(138), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
773South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
774
775Arbiter_7_13 : Arbiter
776
777PORT MAP (Request => Request(125), North => south_2_north(6)(13), West => east_2_west(6)(12), P => Signal_priority(21), Fifo_full => Fifo_full(13), 
778South => south_2_north(7)(13), East => east_2_west(7)(13) , Grant => Signal_grant(7)(13));
779
780Arbiter_7_14 : Arbiter
781
782PORT MAP (Request => Request(112), North => south_2_north(6)(14), West => east_2_west(6)(13), P => Signal_priority(21), Fifo_full => Fifo_full(14), 
783South => south_2_north(7)(14), East => east_2_west(7)(14) , Grant => Signal_grant(7)(14));
784
785-------------------------- Diagonale n° 8
786
787
788Arbiter_8_1 : Arbiter
789
790PORT MAP (Request => Request(99), North => south_2_north(7)(1), West => east_2_west(7)(14), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
791South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
792
793Arbiter_8_2 : Arbiter
794
795PORT MAP (Request => Request(86), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
796South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
797
798Arbiter_8_3 : Arbiter
799
800PORT MAP (Request => Request(73), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
801South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
802
803Arbiter_8_4 : Arbiter
804
805PORT MAP (Request => Request(60), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
806South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
807
808Arbiter_8_5 : Arbiter
809
810PORT MAP (Request => Request(47), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
811South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
812
813Arbiter_8_6 : Arbiter
814
815PORT MAP (Request => Request(34), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
816South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
817
818Arbiter_8_7 : Arbiter
819
820PORT MAP (Request => Request(21), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
821South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
822
823Arbiter_8_8 : Arbiter
824
825PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
826South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
827
828Arbiter_8_9 : Arbiter
829
830PORT MAP (Request => Request(191), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
831South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
832
833Arbiter_8_10 : Arbiter
834
835PORT MAP (Request => Request(178), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
836South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
837
838Arbiter_8_11 : Arbiter
839
840PORT MAP (Request => Request(165), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
841South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
842
843Arbiter_8_12 : Arbiter
844
845PORT MAP (Request => Request(152), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
846South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
847
848Arbiter_8_13 : Arbiter
849
850PORT MAP (Request => Request(139), North => south_2_north(7)(13), West => east_2_west(7)(12), P => Signal_priority(20), Fifo_full => Fifo_full(13), 
851South => south_2_north(8)(13), East => east_2_west(8)(13) , Grant => Signal_grant(8)(13));
852
853Arbiter_8_14 : Arbiter
854
855PORT MAP (Request => Request(126), North => south_2_north(7)(14), West => east_2_west(7)(13), P => Signal_priority(20), Fifo_full => Fifo_full(14), 
856South => south_2_north(8)(14), East => east_2_west(8)(14) , Grant => Signal_grant(8)(14));
857
858-------------------------- Diagonale n° 9
859
860
861Arbiter_9_1 : Arbiter
862
863PORT MAP (Request => Request(113), North => south_2_north(8)(1), West => east_2_west(8)(14), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
864South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
865
866Arbiter_9_2 : Arbiter
867
868PORT MAP (Request => Request(100), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
869South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
870
871Arbiter_9_3 : Arbiter
872
873PORT MAP (Request => Request(87), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
874South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
875
876Arbiter_9_4 : Arbiter
877
878PORT MAP (Request => Request(74), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
879South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
880
881Arbiter_9_5 : Arbiter
882
883PORT MAP (Request => Request(61), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
884South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
885
886Arbiter_9_6 : Arbiter
887
888PORT MAP (Request => Request(48), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
889South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
890
891Arbiter_9_7 : Arbiter
892
893PORT MAP (Request => Request(35), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
894South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
895
896Arbiter_9_8 : Arbiter
897
898PORT MAP (Request => Request(22), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
899South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
900
901Arbiter_9_9 : Arbiter
902
903PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
904South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
905
906Arbiter_9_10 : Arbiter
907
908PORT MAP (Request => Request(192), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
909South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
910
911Arbiter_9_11 : Arbiter
912
913PORT MAP (Request => Request(179), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
914South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
915
916Arbiter_9_12 : Arbiter
917
918PORT MAP (Request => Request(166), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
919South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
920
921Arbiter_9_13 : Arbiter
922
923PORT MAP (Request => Request(153), North => south_2_north(8)(13), West => east_2_west(8)(12), P => Signal_priority(19), Fifo_full => Fifo_full(13), 
924South => south_2_north(9)(13), East => east_2_west(9)(13) , Grant => Signal_grant(9)(13));
925
926Arbiter_9_14 : Arbiter
927
928PORT MAP (Request => Request(140), North => south_2_north(8)(14), West => east_2_west(8)(13), P => Signal_priority(19), Fifo_full => Fifo_full(14), 
929South => south_2_north(9)(14), East => east_2_west(9)(14) , Grant => Signal_grant(9)(14));
930
931-------------------------- Diagonale n° 10
932
933
934Arbiter_10_1 : Arbiter
935
936PORT MAP (Request => Request(127), North => south_2_north(9)(1), West => east_2_west(9)(14), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
937South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
938
939Arbiter_10_2 : Arbiter
940
941PORT MAP (Request => Request(114), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
942South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
943
944Arbiter_10_3 : Arbiter
945
946PORT MAP (Request => Request(101), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
947South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
948
949Arbiter_10_4 : Arbiter
950
951PORT MAP (Request => Request(88), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
952South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
953
954Arbiter_10_5 : Arbiter
955
956PORT MAP (Request => Request(75), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
957South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
958
959Arbiter_10_6 : Arbiter
960
961PORT MAP (Request => Request(62), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
962South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
963
964Arbiter_10_7 : Arbiter
965
966PORT MAP (Request => Request(49), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
967South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
968
969Arbiter_10_8 : Arbiter
970
971PORT MAP (Request => Request(36), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
972South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
973
974Arbiter_10_9 : Arbiter
975
976PORT MAP (Request => Request(23), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
977South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
978
979Arbiter_10_10 : Arbiter
980
981PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
982South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
983
984Arbiter_10_11 : Arbiter
985
986PORT MAP (Request => Request(193), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
987South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
988
989Arbiter_10_12 : Arbiter
990
991PORT MAP (Request => Request(180), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
992South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
993
994Arbiter_10_13 : Arbiter
995
996PORT MAP (Request => Request(167), North => south_2_north(9)(13), West => east_2_west(9)(12), P => Signal_priority(18), Fifo_full => Fifo_full(13), 
997South => south_2_north(10)(13), East => east_2_west(10)(13) , Grant => Signal_grant(10)(13));
998
999Arbiter_10_14 : Arbiter
1000
1001PORT MAP (Request => Request(154), North => south_2_north(9)(14), West => east_2_west(9)(13), P => Signal_priority(18), Fifo_full => Fifo_full(14), 
1002South => south_2_north(10)(14), East => east_2_west(10)(14) , Grant => Signal_grant(10)(14));
1003
1004-------------------------- Diagonale n° 11
1005
1006
1007Arbiter_11_1 : Arbiter
1008
1009PORT MAP (Request => Request(141), North => south_2_north(10)(1), West => east_2_west(10)(14), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
1010South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
1011
1012Arbiter_11_2 : Arbiter
1013
1014PORT MAP (Request => Request(128), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
1015South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
1016
1017Arbiter_11_3 : Arbiter
1018
1019PORT MAP (Request => Request(115), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
1020South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
1021
1022Arbiter_11_4 : Arbiter
1023
1024PORT MAP (Request => Request(102), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
1025South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
1026
1027Arbiter_11_5 : Arbiter
1028
1029PORT MAP (Request => Request(89), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
1030South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
1031
1032Arbiter_11_6 : Arbiter
1033
1034PORT MAP (Request => Request(76), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
1035South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
1036
1037Arbiter_11_7 : Arbiter
1038
1039PORT MAP (Request => Request(63), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
1040South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
1041
1042Arbiter_11_8 : Arbiter
1043
1044PORT MAP (Request => Request(50), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
1045South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
1046
1047Arbiter_11_9 : Arbiter
1048
1049PORT MAP (Request => Request(37), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
1050South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
1051
1052Arbiter_11_10 : Arbiter
1053
1054PORT MAP (Request => Request(24), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
1055South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
1056
1057Arbiter_11_11 : Arbiter
1058
1059PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
1060South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
1061
1062Arbiter_11_12 : Arbiter
1063
1064PORT MAP (Request => Request(194), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
1065South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
1066
1067Arbiter_11_13 : Arbiter
1068
1069PORT MAP (Request => Request(181), North => south_2_north(10)(13), West => east_2_west(10)(12), P => Signal_priority(17), Fifo_full => Fifo_full(13), 
1070South => south_2_north(11)(13), East => east_2_west(11)(13) , Grant => Signal_grant(11)(13));
1071
1072Arbiter_11_14 : Arbiter
1073
1074PORT MAP (Request => Request(168), North => south_2_north(10)(14), West => east_2_west(10)(13), P => Signal_priority(17), Fifo_full => Fifo_full(14), 
1075South => south_2_north(11)(14), East => east_2_west(11)(14) , Grant => Signal_grant(11)(14));
1076
1077-------------------------- Diagonale n° 12
1078
1079
1080Arbiter_12_1 : Arbiter
1081
1082PORT MAP (Request => Request(155), North => south_2_north(11)(1), West => east_2_west(11)(14), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
1083South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
1084
1085Arbiter_12_2 : Arbiter
1086
1087PORT MAP (Request => Request(142), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
1088South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
1089
1090Arbiter_12_3 : Arbiter
1091
1092PORT MAP (Request => Request(129), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
1093South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
1094
1095Arbiter_12_4 : Arbiter
1096
1097PORT MAP (Request => Request(116), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
1098South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
1099
1100Arbiter_12_5 : Arbiter
1101
1102PORT MAP (Request => Request(103), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
1103South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
1104
1105Arbiter_12_6 : Arbiter
1106
1107PORT MAP (Request => Request(90), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
1108South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
1109
1110Arbiter_12_7 : Arbiter
1111
1112PORT MAP (Request => Request(77), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
1113South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
1114
1115Arbiter_12_8 : Arbiter
1116
1117PORT MAP (Request => Request(64), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
1118South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
1119
1120Arbiter_12_9 : Arbiter
1121
1122PORT MAP (Request => Request(51), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
1123South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
1124
1125Arbiter_12_10 : Arbiter
1126
1127PORT MAP (Request => Request(38), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
1128South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
1129
1130Arbiter_12_11 : Arbiter
1131
1132PORT MAP (Request => Request(25), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
1133South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
1134
1135Arbiter_12_12 : Arbiter
1136
1137PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
1138South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
1139
1140Arbiter_12_13 : Arbiter
1141
1142PORT MAP (Request => Request(195), North => south_2_north(11)(13), West => east_2_west(11)(12), P => Signal_priority(16), Fifo_full => Fifo_full(13), 
1143South => south_2_north(12)(13), East => east_2_west(12)(13) , Grant => Signal_grant(12)(13));
1144
1145Arbiter_12_14 : Arbiter
1146
1147PORT MAP (Request => Request(182), North => south_2_north(11)(14), West => east_2_west(11)(13), P => Signal_priority(16), Fifo_full => Fifo_full(14), 
1148South => south_2_north(12)(14), East => east_2_west(12)(14) , Grant => Signal_grant(12)(14));
1149
1150-------------------------- Diagonale n° 13
1151
1152
1153Arbiter_13_1 : Arbiter
1154
1155PORT MAP (Request => Request(169), North => south_2_north(12)(1), West => east_2_west(12)(14), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
1156South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
1157
1158Arbiter_13_2 : Arbiter
1159
1160PORT MAP (Request => Request(156), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
1161South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
1162
1163Arbiter_13_3 : Arbiter
1164
1165PORT MAP (Request => Request(143), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
1166South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
1167
1168Arbiter_13_4 : Arbiter
1169
1170PORT MAP (Request => Request(130), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
1171South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1172
1173Arbiter_13_5 : Arbiter
1174
1175PORT MAP (Request => Request(117), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
1176South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1177
1178Arbiter_13_6 : Arbiter
1179
1180PORT MAP (Request => Request(104), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
1181South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1182
1183Arbiter_13_7 : Arbiter
1184
1185PORT MAP (Request => Request(91), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
1186South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1187
1188Arbiter_13_8 : Arbiter
1189
1190PORT MAP (Request => Request(78), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
1191South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1192
1193Arbiter_13_9 : Arbiter
1194
1195PORT MAP (Request => Request(65), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
1196South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1197
1198Arbiter_13_10 : Arbiter
1199
1200PORT MAP (Request => Request(52), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
1201South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1202
1203Arbiter_13_11 : Arbiter
1204
1205PORT MAP (Request => Request(39), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
1206South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1207
1208Arbiter_13_12 : Arbiter
1209
1210PORT MAP (Request => Request(26), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
1211South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1212
1213Arbiter_13_13 : Arbiter
1214
1215PORT MAP (Request => Request(13), North => south_2_north(12)(13), West => east_2_west(12)(12), P => Signal_priority(15), Fifo_full => Fifo_full(13), 
1216South => south_2_north(13)(13), East => east_2_west(13)(13) , Grant => Signal_grant(13)(13));
1217
1218Arbiter_13_14 : Arbiter
1219
1220PORT MAP (Request => Request(196), North => south_2_north(12)(14), West => east_2_west(12)(13), P => Signal_priority(15), Fifo_full => Fifo_full(14), 
1221South => south_2_north(13)(14), East => east_2_west(13)(14) , Grant => Signal_grant(13)(14));
1222
1223-------------------------- Diagonale n° 14
1224
1225
1226Arbiter_14_1 : Arbiter
1227
1228PORT MAP (Request => Request(183), North => south_2_north(13)(1), West => east_2_west(13)(14), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
1229South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1230
1231Arbiter_14_2 : Arbiter
1232
1233PORT MAP (Request => Request(170), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
1234South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1235
1236Arbiter_14_3 : Arbiter
1237
1238PORT MAP (Request => Request(157), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
1239South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1240
1241Arbiter_14_4 : Arbiter
1242
1243PORT MAP (Request => Request(144), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
1244South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1245
1246Arbiter_14_5 : Arbiter
1247
1248PORT MAP (Request => Request(131), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
1249South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1250
1251Arbiter_14_6 : Arbiter
1252
1253PORT MAP (Request => Request(118), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
1254South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1255
1256Arbiter_14_7 : Arbiter
1257
1258PORT MAP (Request => Request(105), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
1259South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1260
1261Arbiter_14_8 : Arbiter
1262
1263PORT MAP (Request => Request(92), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
1264South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1265
1266Arbiter_14_9 : Arbiter
1267
1268PORT MAP (Request => Request(79), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
1269South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1270
1271Arbiter_14_10 : Arbiter
1272
1273PORT MAP (Request => Request(66), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
1274South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1275
1276Arbiter_14_11 : Arbiter
1277
1278PORT MAP (Request => Request(53), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
1279South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1280
1281Arbiter_14_12 : Arbiter
1282
1283PORT MAP (Request => Request(40), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
1284South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1285
1286Arbiter_14_13 : Arbiter
1287
1288PORT MAP (Request => Request(27), North => south_2_north(13)(13), West => east_2_west(13)(12), P => Signal_priority(14), Fifo_full => Fifo_full(13), 
1289South => south_2_north(14)(13), East => east_2_west(14)(13) , Grant => Signal_grant(14)(13));
1290
1291Arbiter_14_14 : Arbiter
1292
1293PORT MAP (Request => Request(14), North => south_2_north(13)(14), West => east_2_west(13)(13), P => Signal_priority(14), Fifo_full => Fifo_full(14), 
1294South => south_2_north(14)(14), East => east_2_west(14)(14) , Grant => Signal_grant(14)(14));
1295
1296-------------------------- Diagonale n° 15
1297
1298
1299Arbiter_15_1 : Arbiter
1300
1301PORT MAP (Request => Request(1), North => south_2_north(14)(1), West => east_2_west(14)(14), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
1302South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1303
1304Arbiter_15_2 : Arbiter
1305
1306PORT MAP (Request => Request(184), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
1307South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1308
1309Arbiter_15_3 : Arbiter
1310
1311PORT MAP (Request => Request(171), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
1312South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1313
1314Arbiter_15_4 : Arbiter
1315
1316PORT MAP (Request => Request(158), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
1317South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1318
1319Arbiter_15_5 : Arbiter
1320
1321PORT MAP (Request => Request(145), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
1322South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1323
1324Arbiter_15_6 : Arbiter
1325
1326PORT MAP (Request => Request(132), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
1327South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1328
1329Arbiter_15_7 : Arbiter
1330
1331PORT MAP (Request => Request(119), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
1332South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1333
1334Arbiter_15_8 : Arbiter
1335
1336PORT MAP (Request => Request(106), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
1337South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1338
1339Arbiter_15_9 : Arbiter
1340
1341PORT MAP (Request => Request(93), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
1342South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1343
1344Arbiter_15_10 : Arbiter
1345
1346PORT MAP (Request => Request(80), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
1347South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1348
1349Arbiter_15_11 : Arbiter
1350
1351PORT MAP (Request => Request(67), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
1352South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1353
1354Arbiter_15_12 : Arbiter
1355
1356PORT MAP (Request => Request(54), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
1357South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1358
1359Arbiter_15_13 : Arbiter
1360
1361PORT MAP (Request => Request(41), North => south_2_north(14)(13), West => east_2_west(14)(12), P => Signal_priority(13), Fifo_full => Fifo_full(13), 
1362South => south_2_north(15)(13), East => east_2_west(15)(13) , Grant => Signal_grant(15)(13));
1363
1364Arbiter_15_14 : Arbiter
1365
1366PORT MAP (Request => Request(28), North => south_2_north(14)(14), West => east_2_west(14)(13), P => Signal_priority(13), Fifo_full => Fifo_full(14), 
1367South => south_2_north(15)(14), East => east_2_west(15)(14) , Grant => Signal_grant(15)(14));
1368
1369-------------------------- Diagonale n° 16
1370
1371
1372Arbiter_16_1 : Arbiter
1373
1374PORT MAP (Request => Request(15), North => south_2_north(15)(1), West => east_2_west(15)(14), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
1375South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1376
1377Arbiter_16_2 : Arbiter
1378
1379PORT MAP (Request => Request(2), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
1380South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1381
1382Arbiter_16_3 : Arbiter
1383
1384PORT MAP (Request => Request(185), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
1385South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1386
1387Arbiter_16_4 : Arbiter
1388
1389PORT MAP (Request => Request(172), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
1390South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1391
1392Arbiter_16_5 : Arbiter
1393
1394PORT MAP (Request => Request(159), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
1395South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1396
1397Arbiter_16_6 : Arbiter
1398
1399PORT MAP (Request => Request(146), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
1400South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1401
1402Arbiter_16_7 : Arbiter
1403
1404PORT MAP (Request => Request(133), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
1405South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1406
1407Arbiter_16_8 : Arbiter
1408
1409PORT MAP (Request => Request(120), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
1410South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1411
1412Arbiter_16_9 : Arbiter
1413
1414PORT MAP (Request => Request(107), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
1415South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1416
1417Arbiter_16_10 : Arbiter
1418
1419PORT MAP (Request => Request(94), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
1420South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1421
1422Arbiter_16_11 : Arbiter
1423
1424PORT MAP (Request => Request(81), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
1425South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1426
1427Arbiter_16_12 : Arbiter
1428
1429PORT MAP (Request => Request(68), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
1430South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1431
1432Arbiter_16_13 : Arbiter
1433
1434PORT MAP (Request => Request(55), North => south_2_north(15)(13), West => east_2_west(15)(12), P => Signal_priority(12), Fifo_full => Fifo_full(13), 
1435South => south_2_north(16)(13), East => east_2_west(16)(13) , Grant => Signal_grant(16)(13));
1436
1437Arbiter_16_14 : Arbiter
1438
1439PORT MAP (Request => Request(42), North => south_2_north(15)(14), West => east_2_west(15)(13), P => Signal_priority(12), Fifo_full => Fifo_full(14), 
1440South => south_2_north(16)(14), East => east_2_west(16)(14) , Grant => Signal_grant(16)(14));
1441
1442-------------------------- Diagonale n° 17
1443
1444
1445Arbiter_17_1 : Arbiter
1446
1447PORT MAP (Request => Request(29), North => south_2_north(16)(1), West => east_2_west(16)(14), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
1448South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1449
1450Arbiter_17_2 : Arbiter
1451
1452PORT MAP (Request => Request(16), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
1453South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1454
1455Arbiter_17_3 : Arbiter
1456
1457PORT MAP (Request => Request(3), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
1458South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1459
1460Arbiter_17_4 : Arbiter
1461
1462PORT MAP (Request => Request(186), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
1463South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1464
1465Arbiter_17_5 : Arbiter
1466
1467PORT MAP (Request => Request(173), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
1468South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1469
1470Arbiter_17_6 : Arbiter
1471
1472PORT MAP (Request => Request(160), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
1473South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1474
1475Arbiter_17_7 : Arbiter
1476
1477PORT MAP (Request => Request(147), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
1478South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1479
1480Arbiter_17_8 : Arbiter
1481
1482PORT MAP (Request => Request(134), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
1483South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1484
1485Arbiter_17_9 : Arbiter
1486
1487PORT MAP (Request => Request(121), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
1488South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1489
1490Arbiter_17_10 : Arbiter
1491
1492PORT MAP (Request => Request(108), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
1493South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1494
1495Arbiter_17_11 : Arbiter
1496
1497PORT MAP (Request => Request(95), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
1498South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1499
1500Arbiter_17_12 : Arbiter
1501
1502PORT MAP (Request => Request(82), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
1503South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1504
1505Arbiter_17_13 : Arbiter
1506
1507PORT MAP (Request => Request(69), North => south_2_north(16)(13), West => east_2_west(16)(12), P => Signal_priority(11), Fifo_full => Fifo_full(13), 
1508South => south_2_north(17)(13), East => east_2_west(17)(13) , Grant => Signal_grant(17)(13));
1509
1510Arbiter_17_14 : Arbiter
1511
1512PORT MAP (Request => Request(56), North => south_2_north(16)(14), West => east_2_west(16)(13), P => Signal_priority(11), Fifo_full => Fifo_full(14), 
1513South => south_2_north(17)(14), East => east_2_west(17)(14) , Grant => Signal_grant(17)(14));
1514
1515-------------------------- Diagonale n° 18
1516
1517
1518Arbiter_18_1 : Arbiter
1519
1520PORT MAP (Request => Request(43), North => south_2_north(17)(1), West => east_2_west(17)(14), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
1521South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1522
1523Arbiter_18_2 : Arbiter
1524
1525PORT MAP (Request => Request(30), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
1526South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1527
1528Arbiter_18_3 : Arbiter
1529
1530PORT MAP (Request => Request(17), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
1531South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1532
1533Arbiter_18_4 : Arbiter
1534
1535PORT MAP (Request => Request(4), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
1536South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1537
1538Arbiter_18_5 : Arbiter
1539
1540PORT MAP (Request => Request(187), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
1541South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1542
1543Arbiter_18_6 : Arbiter
1544
1545PORT MAP (Request => Request(174), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
1546South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1547
1548Arbiter_18_7 : Arbiter
1549
1550PORT MAP (Request => Request(161), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
1551South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1552
1553Arbiter_18_8 : Arbiter
1554
1555PORT MAP (Request => Request(148), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
1556South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1557
1558Arbiter_18_9 : Arbiter
1559
1560PORT MAP (Request => Request(135), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
1561South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1562
1563Arbiter_18_10 : Arbiter
1564
1565PORT MAP (Request => Request(122), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
1566South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1567
1568Arbiter_18_11 : Arbiter
1569
1570PORT MAP (Request => Request(109), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
1571South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1572
1573Arbiter_18_12 : Arbiter
1574
1575PORT MAP (Request => Request(96), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
1576South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1577
1578Arbiter_18_13 : Arbiter
1579
1580PORT MAP (Request => Request(83), North => south_2_north(17)(13), West => east_2_west(17)(12), P => Signal_priority(10), Fifo_full => Fifo_full(13), 
1581South => south_2_north(18)(13), East => east_2_west(18)(13) , Grant => Signal_grant(18)(13));
1582
1583Arbiter_18_14 : Arbiter
1584
1585PORT MAP (Request => Request(70), North => south_2_north(17)(14), West => east_2_west(17)(13), P => Signal_priority(10), Fifo_full => Fifo_full(14), 
1586South => south_2_north(18)(14), East => east_2_west(18)(14) , Grant => Signal_grant(18)(14));
1587
1588-------------------------- Diagonale n° 19
1589
1590
1591Arbiter_19_1 : Arbiter
1592
1593PORT MAP (Request => Request(57), North => south_2_north(18)(1), West => east_2_west(18)(14), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
1594South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1595
1596Arbiter_19_2 : Arbiter
1597
1598PORT MAP (Request => Request(44), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
1599South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1600
1601Arbiter_19_3 : Arbiter
1602
1603PORT MAP (Request => Request(31), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
1604South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1605
1606Arbiter_19_4 : Arbiter
1607
1608PORT MAP (Request => Request(18), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
1609South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1610
1611Arbiter_19_5 : Arbiter
1612
1613PORT MAP (Request => Request(5), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
1614South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1615
1616Arbiter_19_6 : Arbiter
1617
1618PORT MAP (Request => Request(188), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
1619South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1620
1621Arbiter_19_7 : Arbiter
1622
1623PORT MAP (Request => Request(175), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
1624South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1625
1626Arbiter_19_8 : Arbiter
1627
1628PORT MAP (Request => Request(162), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
1629South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1630
1631Arbiter_19_9 : Arbiter
1632
1633PORT MAP (Request => Request(149), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
1634South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1635
1636Arbiter_19_10 : Arbiter
1637
1638PORT MAP (Request => Request(136), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
1639South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1640
1641Arbiter_19_11 : Arbiter
1642
1643PORT MAP (Request => Request(123), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
1644South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1645
1646Arbiter_19_12 : Arbiter
1647
1648PORT MAP (Request => Request(110), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
1649South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1650
1651Arbiter_19_13 : Arbiter
1652
1653PORT MAP (Request => Request(97), North => south_2_north(18)(13), West => east_2_west(18)(12), P => Signal_priority(9), Fifo_full => Fifo_full(13), 
1654South => south_2_north(19)(13), East => east_2_west(19)(13) , Grant => Signal_grant(19)(13));
1655
1656Arbiter_19_14 : Arbiter
1657
1658PORT MAP (Request => Request(84), North => south_2_north(18)(14), West => east_2_west(18)(13), P => Signal_priority(9), Fifo_full => Fifo_full(14), 
1659South => south_2_north(19)(14), East => east_2_west(19)(14) , Grant => Signal_grant(19)(14));
1660
1661-------------------------- Diagonale n° 20
1662
1663
1664Arbiter_20_1 : Arbiter
1665
1666PORT MAP (Request => Request(71), North => south_2_north(19)(1), West => east_2_west(19)(14), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
1667South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1668
1669Arbiter_20_2 : Arbiter
1670
1671PORT MAP (Request => Request(58), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
1672South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1673
1674Arbiter_20_3 : Arbiter
1675
1676PORT MAP (Request => Request(45), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
1677South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1678
1679Arbiter_20_4 : Arbiter
1680
1681PORT MAP (Request => Request(32), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
1682South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1683
1684Arbiter_20_5 : Arbiter
1685
1686PORT MAP (Request => Request(19), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
1687South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1688
1689Arbiter_20_6 : Arbiter
1690
1691PORT MAP (Request => Request(6), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
1692South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1693
1694Arbiter_20_7 : Arbiter
1695
1696PORT MAP (Request => Request(189), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
1697South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1698
1699Arbiter_20_8 : Arbiter
1700
1701PORT MAP (Request => Request(176), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
1702South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1703
1704Arbiter_20_9 : Arbiter
1705
1706PORT MAP (Request => Request(163), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
1707South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1708
1709Arbiter_20_10 : Arbiter
1710
1711PORT MAP (Request => Request(150), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
1712South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1713
1714Arbiter_20_11 : Arbiter
1715
1716PORT MAP (Request => Request(137), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
1717South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1718
1719Arbiter_20_12 : Arbiter
1720
1721PORT MAP (Request => Request(124), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
1722South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1723
1724Arbiter_20_13 : Arbiter
1725
1726PORT MAP (Request => Request(111), North => south_2_north(19)(13), West => east_2_west(19)(12), P => Signal_priority(8), Fifo_full => Fifo_full(13), 
1727South => south_2_north(20)(13), East => east_2_west(20)(13) , Grant => Signal_grant(20)(13));
1728
1729Arbiter_20_14 : Arbiter
1730
1731PORT MAP (Request => Request(98), North => south_2_north(19)(14), West => east_2_west(19)(13), P => Signal_priority(8), Fifo_full => Fifo_full(14), 
1732South => south_2_north(20)(14), East => east_2_west(20)(14) , Grant => Signal_grant(20)(14));
1733
1734-------------------------- Diagonale n° 21
1735
1736
1737Arbiter_21_1 : Arbiter
1738
1739PORT MAP (Request => Request(85), North => south_2_north(20)(1), West => east_2_west(20)(14), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
1740South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
1741
1742Arbiter_21_2 : Arbiter
1743
1744PORT MAP (Request => Request(72), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
1745South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
1746
1747Arbiter_21_3 : Arbiter
1748
1749PORT MAP (Request => Request(59), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
1750South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
1751
1752Arbiter_21_4 : Arbiter
1753
1754PORT MAP (Request => Request(46), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
1755South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
1756
1757Arbiter_21_5 : Arbiter
1758
1759PORT MAP (Request => Request(33), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
1760South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
1761
1762Arbiter_21_6 : Arbiter
1763
1764PORT MAP (Request => Request(20), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
1765South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
1766
1767Arbiter_21_7 : Arbiter
1768
1769PORT MAP (Request => Request(7), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
1770South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
1771
1772Arbiter_21_8 : Arbiter
1773
1774PORT MAP (Request => Request(190), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
1775South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
1776
1777Arbiter_21_9 : Arbiter
1778
1779PORT MAP (Request => Request(177), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
1780South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
1781
1782Arbiter_21_10 : Arbiter
1783
1784PORT MAP (Request => Request(164), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
1785South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
1786
1787Arbiter_21_11 : Arbiter
1788
1789PORT MAP (Request => Request(151), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
1790South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
1791
1792Arbiter_21_12 : Arbiter
1793
1794PORT MAP (Request => Request(138), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
1795South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
1796
1797Arbiter_21_13 : Arbiter
1798
1799PORT MAP (Request => Request(125), North => south_2_north(20)(13), West => east_2_west(20)(12), P => Signal_priority(7), Fifo_full => Fifo_full(13), 
1800South => south_2_north(21)(13), East => east_2_west(21)(13) , Grant => Signal_grant(21)(13));
1801
1802Arbiter_21_14 : Arbiter
1803
1804PORT MAP (Request => Request(112), North => south_2_north(20)(14), West => east_2_west(20)(13), P => Signal_priority(7), Fifo_full => Fifo_full(14), 
1805South => south_2_north(21)(14), East => east_2_west(21)(14) , Grant => Signal_grant(21)(14));
1806
1807-------------------------- Diagonale n° 22
1808
1809
1810Arbiter_22_1 : Arbiter
1811
1812PORT MAP (Request => Request(99), North => south_2_north(21)(1), West => east_2_west(21)(14), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
1813South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
1814
1815Arbiter_22_2 : Arbiter
1816
1817PORT MAP (Request => Request(86), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
1818South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
1819
1820Arbiter_22_3 : Arbiter
1821
1822PORT MAP (Request => Request(73), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
1823South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
1824
1825Arbiter_22_4 : Arbiter
1826
1827PORT MAP (Request => Request(60), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
1828South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
1829
1830Arbiter_22_5 : Arbiter
1831
1832PORT MAP (Request => Request(47), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
1833South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
1834
1835Arbiter_22_6 : Arbiter
1836
1837PORT MAP (Request => Request(34), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
1838South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
1839
1840Arbiter_22_7 : Arbiter
1841
1842PORT MAP (Request => Request(21), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
1843South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
1844
1845Arbiter_22_8 : Arbiter
1846
1847PORT MAP (Request => Request(8), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
1848South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
1849
1850Arbiter_22_9 : Arbiter
1851
1852PORT MAP (Request => Request(191), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
1853South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
1854
1855Arbiter_22_10 : Arbiter
1856
1857PORT MAP (Request => Request(178), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
1858South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
1859
1860Arbiter_22_11 : Arbiter
1861
1862PORT MAP (Request => Request(165), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
1863South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
1864
1865Arbiter_22_12 : Arbiter
1866
1867PORT MAP (Request => Request(152), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
1868South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
1869
1870Arbiter_22_13 : Arbiter
1871
1872PORT MAP (Request => Request(139), North => south_2_north(21)(13), West => east_2_west(21)(12), P => Signal_priority(6), Fifo_full => Fifo_full(13), 
1873South => south_2_north(22)(13), East => east_2_west(22)(13) , Grant => Signal_grant(22)(13));
1874
1875Arbiter_22_14 : Arbiter
1876
1877PORT MAP (Request => Request(126), North => south_2_north(21)(14), West => east_2_west(21)(13), P => Signal_priority(6), Fifo_full => Fifo_full(14), 
1878South => south_2_north(22)(14), East => east_2_west(22)(14) , Grant => Signal_grant(22)(14));
1879
1880-------------------------- Diagonale n° 23
1881
1882
1883Arbiter_23_1 : Arbiter
1884
1885PORT MAP (Request => Request(113), North => south_2_north(22)(1), West => east_2_west(22)(14), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
1886South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
1887
1888Arbiter_23_2 : Arbiter
1889
1890PORT MAP (Request => Request(100), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
1891South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
1892
1893Arbiter_23_3 : Arbiter
1894
1895PORT MAP (Request => Request(87), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
1896South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
1897
1898Arbiter_23_4 : Arbiter
1899
1900PORT MAP (Request => Request(74), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
1901South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
1902
1903Arbiter_23_5 : Arbiter
1904
1905PORT MAP (Request => Request(61), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
1906South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
1907
1908Arbiter_23_6 : Arbiter
1909
1910PORT MAP (Request => Request(48), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
1911South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
1912
1913Arbiter_23_7 : Arbiter
1914
1915PORT MAP (Request => Request(35), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
1916South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
1917
1918Arbiter_23_8 : Arbiter
1919
1920PORT MAP (Request => Request(22), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
1921South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
1922
1923Arbiter_23_9 : Arbiter
1924
1925PORT MAP (Request => Request(9), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
1926South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
1927
1928Arbiter_23_10 : Arbiter
1929
1930PORT MAP (Request => Request(192), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
1931South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
1932
1933Arbiter_23_11 : Arbiter
1934
1935PORT MAP (Request => Request(179), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
1936South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
1937
1938Arbiter_23_12 : Arbiter
1939
1940PORT MAP (Request => Request(166), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
1941South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
1942
1943Arbiter_23_13 : Arbiter
1944
1945PORT MAP (Request => Request(153), North => south_2_north(22)(13), West => east_2_west(22)(12), P => Signal_priority(5), Fifo_full => Fifo_full(13), 
1946South => south_2_north(23)(13), East => east_2_west(23)(13) , Grant => Signal_grant(23)(13));
1947
1948Arbiter_23_14 : Arbiter
1949
1950PORT MAP (Request => Request(140), North => south_2_north(22)(14), West => east_2_west(22)(13), P => Signal_priority(5), Fifo_full => Fifo_full(14), 
1951South => south_2_north(23)(14), East => east_2_west(23)(14) , Grant => Signal_grant(23)(14));
1952
1953-------------------------- Diagonale n° 24
1954
1955
1956Arbiter_24_1 : Arbiter
1957
1958PORT MAP (Request => Request(127), North => south_2_north(23)(1), West => east_2_west(23)(14), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
1959South => south_2_north(24)(1), East => east_2_west(24)(1) , Grant => Signal_grant(24)(1));
1960
1961Arbiter_24_2 : Arbiter
1962
1963PORT MAP (Request => Request(114), North => south_2_north(23)(2), West => east_2_west(23)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
1964South => south_2_north(24)(2), East => east_2_west(24)(2) , Grant => Signal_grant(24)(2));
1965
1966Arbiter_24_3 : Arbiter
1967
1968PORT MAP (Request => Request(101), North => south_2_north(23)(3), West => east_2_west(23)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
1969South => south_2_north(24)(3), East => east_2_west(24)(3) , Grant => Signal_grant(24)(3));
1970
1971Arbiter_24_4 : Arbiter
1972
1973PORT MAP (Request => Request(88), North => south_2_north(23)(4), West => east_2_west(23)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
1974South => south_2_north(24)(4), East => east_2_west(24)(4) , Grant => Signal_grant(24)(4));
1975
1976Arbiter_24_5 : Arbiter
1977
1978PORT MAP (Request => Request(75), North => south_2_north(23)(5), West => east_2_west(23)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
1979South => south_2_north(24)(5), East => east_2_west(24)(5) , Grant => Signal_grant(24)(5));
1980
1981Arbiter_24_6 : Arbiter
1982
1983PORT MAP (Request => Request(62), North => south_2_north(23)(6), West => east_2_west(23)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
1984South => south_2_north(24)(6), East => east_2_west(24)(6) , Grant => Signal_grant(24)(6));
1985
1986Arbiter_24_7 : Arbiter
1987
1988PORT MAP (Request => Request(49), North => south_2_north(23)(7), West => east_2_west(23)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
1989South => south_2_north(24)(7), East => east_2_west(24)(7) , Grant => Signal_grant(24)(7));
1990
1991Arbiter_24_8 : Arbiter
1992
1993PORT MAP (Request => Request(36), North => south_2_north(23)(8), West => east_2_west(23)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
1994South => south_2_north(24)(8), East => east_2_west(24)(8) , Grant => Signal_grant(24)(8));
1995
1996Arbiter_24_9 : Arbiter
1997
1998PORT MAP (Request => Request(23), North => south_2_north(23)(9), West => east_2_west(23)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
1999South => south_2_north(24)(9), East => east_2_west(24)(9) , Grant => Signal_grant(24)(9));
2000
2001Arbiter_24_10 : Arbiter
2002
2003PORT MAP (Request => Request(10), North => south_2_north(23)(10), West => east_2_west(23)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
2004South => south_2_north(24)(10), East => east_2_west(24)(10) , Grant => Signal_grant(24)(10));
2005
2006Arbiter_24_11 : Arbiter
2007
2008PORT MAP (Request => Request(193), North => south_2_north(23)(11), West => east_2_west(23)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
2009South => south_2_north(24)(11), East => east_2_west(24)(11) , Grant => Signal_grant(24)(11));
2010
2011Arbiter_24_12 : Arbiter
2012
2013PORT MAP (Request => Request(180), North => south_2_north(23)(12), West => east_2_west(23)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
2014South => south_2_north(24)(12), East => east_2_west(24)(12) , Grant => Signal_grant(24)(12));
2015
2016Arbiter_24_13 : Arbiter
2017
2018PORT MAP (Request => Request(167), North => south_2_north(23)(13), West => east_2_west(23)(12), P => Signal_priority(4), Fifo_full => Fifo_full(13), 
2019South => south_2_north(24)(13), East => east_2_west(24)(13) , Grant => Signal_grant(24)(13));
2020
2021Arbiter_24_14 : Arbiter
2022
2023PORT MAP (Request => Request(154), North => south_2_north(23)(14), West => east_2_west(23)(13), P => Signal_priority(4), Fifo_full => Fifo_full(14), 
2024South => south_2_north(24)(14), East => east_2_west(24)(14) , Grant => Signal_grant(24)(14));
2025
2026-------------------------- Diagonale n° 25
2027
2028
2029Arbiter_25_1 : Arbiter
2030
2031PORT MAP (Request => Request(141), North => south_2_north(24)(1), West => east_2_west(24)(14), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
2032South => south_2_north(25)(1), East => east_2_west(25)(1) , Grant => Signal_grant(25)(1));
2033
2034Arbiter_25_2 : Arbiter
2035
2036PORT MAP (Request => Request(128), North => south_2_north(24)(2), West => east_2_west(24)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
2037South => south_2_north(25)(2), East => east_2_west(25)(2) , Grant => Signal_grant(25)(2));
2038
2039Arbiter_25_3 : Arbiter
2040
2041PORT MAP (Request => Request(115), North => south_2_north(24)(3), West => east_2_west(24)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
2042South => south_2_north(25)(3), East => east_2_west(25)(3) , Grant => Signal_grant(25)(3));
2043
2044Arbiter_25_4 : Arbiter
2045
2046PORT MAP (Request => Request(102), North => south_2_north(24)(4), West => east_2_west(24)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
2047South => south_2_north(25)(4), East => east_2_west(25)(4) , Grant => Signal_grant(25)(4));
2048
2049Arbiter_25_5 : Arbiter
2050
2051PORT MAP (Request => Request(89), North => south_2_north(24)(5), West => east_2_west(24)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
2052South => south_2_north(25)(5), East => east_2_west(25)(5) , Grant => Signal_grant(25)(5));
2053
2054Arbiter_25_6 : Arbiter
2055
2056PORT MAP (Request => Request(76), North => south_2_north(24)(6), West => east_2_west(24)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
2057South => south_2_north(25)(6), East => east_2_west(25)(6) , Grant => Signal_grant(25)(6));
2058
2059Arbiter_25_7 : Arbiter
2060
2061PORT MAP (Request => Request(63), North => south_2_north(24)(7), West => east_2_west(24)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
2062South => south_2_north(25)(7), East => east_2_west(25)(7) , Grant => Signal_grant(25)(7));
2063
2064Arbiter_25_8 : Arbiter
2065
2066PORT MAP (Request => Request(50), North => south_2_north(24)(8), West => east_2_west(24)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
2067South => south_2_north(25)(8), East => east_2_west(25)(8) , Grant => Signal_grant(25)(8));
2068
2069Arbiter_25_9 : Arbiter
2070
2071PORT MAP (Request => Request(37), North => south_2_north(24)(9), West => east_2_west(24)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
2072South => south_2_north(25)(9), East => east_2_west(25)(9) , Grant => Signal_grant(25)(9));
2073
2074Arbiter_25_10 : Arbiter
2075
2076PORT MAP (Request => Request(24), North => south_2_north(24)(10), West => east_2_west(24)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
2077South => south_2_north(25)(10), East => east_2_west(25)(10) , Grant => Signal_grant(25)(10));
2078
2079Arbiter_25_11 : Arbiter
2080
2081PORT MAP (Request => Request(11), North => south_2_north(24)(11), West => east_2_west(24)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
2082South => south_2_north(25)(11), East => east_2_west(25)(11) , Grant => Signal_grant(25)(11));
2083
2084Arbiter_25_12 : Arbiter
2085
2086PORT MAP (Request => Request(194), North => south_2_north(24)(12), West => east_2_west(24)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
2087South => south_2_north(25)(12), East => east_2_west(25)(12) , Grant => Signal_grant(25)(12));
2088
2089Arbiter_25_13 : Arbiter
2090
2091PORT MAP (Request => Request(181), North => south_2_north(24)(13), West => east_2_west(24)(12), P => Signal_priority(3), Fifo_full => Fifo_full(13), 
2092South => south_2_north(25)(13), East => east_2_west(25)(13) , Grant => Signal_grant(25)(13));
2093
2094Arbiter_25_14 : Arbiter
2095
2096PORT MAP (Request => Request(168), North => south_2_north(24)(14), West => east_2_west(24)(13), P => Signal_priority(3), Fifo_full => Fifo_full(14), 
2097South => south_2_north(25)(14), East => east_2_west(25)(14) , Grant => Signal_grant(25)(14));
2098
2099-------------------------- Diagonale n° 26
2100
2101
2102Arbiter_26_1 : Arbiter
2103
2104PORT MAP (Request => Request(155), North => south_2_north(25)(1), West => east_2_west(25)(14), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
2105South => south_2_north(26)(1), East => east_2_west(26)(1) , Grant => Signal_grant(26)(1));
2106
2107Arbiter_26_2 : Arbiter
2108
2109PORT MAP (Request => Request(142), North => south_2_north(25)(2), West => east_2_west(25)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
2110South => south_2_north(26)(2), East => east_2_west(26)(2) , Grant => Signal_grant(26)(2));
2111
2112Arbiter_26_3 : Arbiter
2113
2114PORT MAP (Request => Request(129), North => south_2_north(25)(3), West => east_2_west(25)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
2115South => south_2_north(26)(3), East => east_2_west(26)(3) , Grant => Signal_grant(26)(3));
2116
2117Arbiter_26_4 : Arbiter
2118
2119PORT MAP (Request => Request(116), North => south_2_north(25)(4), West => east_2_west(25)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
2120South => south_2_north(26)(4), East => east_2_west(26)(4) , Grant => Signal_grant(26)(4));
2121
2122Arbiter_26_5 : Arbiter
2123
2124PORT MAP (Request => Request(103), North => south_2_north(25)(5), West => east_2_west(25)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
2125South => south_2_north(26)(5), East => east_2_west(26)(5) , Grant => Signal_grant(26)(5));
2126
2127Arbiter_26_6 : Arbiter
2128
2129PORT MAP (Request => Request(90), North => south_2_north(25)(6), West => east_2_west(25)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
2130South => south_2_north(26)(6), East => east_2_west(26)(6) , Grant => Signal_grant(26)(6));
2131
2132Arbiter_26_7 : Arbiter
2133
2134PORT MAP (Request => Request(77), North => south_2_north(25)(7), West => east_2_west(25)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
2135South => south_2_north(26)(7), East => east_2_west(26)(7) , Grant => Signal_grant(26)(7));
2136
2137Arbiter_26_8 : Arbiter
2138
2139PORT MAP (Request => Request(64), North => south_2_north(25)(8), West => east_2_west(25)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
2140South => south_2_north(26)(8), East => east_2_west(26)(8) , Grant => Signal_grant(26)(8));
2141
2142Arbiter_26_9 : Arbiter
2143
2144PORT MAP (Request => Request(51), North => south_2_north(25)(9), West => east_2_west(25)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
2145South => south_2_north(26)(9), East => east_2_west(26)(9) , Grant => Signal_grant(26)(9));
2146
2147Arbiter_26_10 : Arbiter
2148
2149PORT MAP (Request => Request(38), North => south_2_north(25)(10), West => east_2_west(25)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
2150South => south_2_north(26)(10), East => east_2_west(26)(10) , Grant => Signal_grant(26)(10));
2151
2152Arbiter_26_11 : Arbiter
2153
2154PORT MAP (Request => Request(25), North => south_2_north(25)(11), West => east_2_west(25)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
2155South => south_2_north(26)(11), East => east_2_west(26)(11) , Grant => Signal_grant(26)(11));
2156
2157Arbiter_26_12 : Arbiter
2158
2159PORT MAP (Request => Request(12), North => south_2_north(25)(12), West => east_2_west(25)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
2160South => south_2_north(26)(12), East => east_2_west(26)(12) , Grant => Signal_grant(26)(12));
2161
2162Arbiter_26_13 : Arbiter
2163
2164PORT MAP (Request => Request(195), North => south_2_north(25)(13), West => east_2_west(25)(12), P => Signal_priority(2), Fifo_full => Fifo_full(13), 
2165South => south_2_north(26)(13), East => east_2_west(26)(13) , Grant => Signal_grant(26)(13));
2166
2167Arbiter_26_14 : Arbiter
2168
2169PORT MAP (Request => Request(182), North => south_2_north(25)(14), West => east_2_west(25)(13), P => Signal_priority(2), Fifo_full => Fifo_full(14), 
2170South => south_2_north(26)(14), East => east_2_west(26)(14) , Grant => Signal_grant(26)(14));
2171
2172-------------------------- Diagonale n° 27
2173
2174
2175Arbiter_27_1 : Arbiter
2176
2177PORT MAP (Request => Request(169), North => south_2_north(26)(1), West => east_2_west(26)(14), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
2178South => south_2_north(27)(1), East => east_2_west(27)(1) , Grant => Signal_grant(27)(1));
2179
2180Arbiter_27_2 : Arbiter
2181
2182PORT MAP (Request => Request(156), North => south_2_north(26)(2), West => east_2_west(26)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
2183South => south_2_north(27)(2), East => east_2_west(27)(2) , Grant => Signal_grant(27)(2));
2184
2185Arbiter_27_3 : Arbiter
2186
2187PORT MAP (Request => Request(143), North => south_2_north(26)(3), West => east_2_west(26)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
2188South => south_2_north(27)(3), East => east_2_west(27)(3) , Grant => Signal_grant(27)(3));
2189
2190Arbiter_27_4 : Arbiter
2191
2192PORT MAP (Request => Request(130), North => south_2_north(26)(4), West => east_2_west(26)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
2193South => south_2_north(27)(4), East => east_2_west(27)(4) , Grant => Signal_grant(27)(4));
2194
2195Arbiter_27_5 : Arbiter
2196
2197PORT MAP (Request => Request(117), North => south_2_north(26)(5), West => east_2_west(26)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
2198South => south_2_north(27)(5), East => east_2_west(27)(5) , Grant => Signal_grant(27)(5));
2199
2200Arbiter_27_6 : Arbiter
2201
2202PORT MAP (Request => Request(104), North => south_2_north(26)(6), West => east_2_west(26)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
2203South => south_2_north(27)(6), East => east_2_west(27)(6) , Grant => Signal_grant(27)(6));
2204
2205Arbiter_27_7 : Arbiter
2206
2207PORT MAP (Request => Request(91), North => south_2_north(26)(7), West => east_2_west(26)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
2208South => south_2_north(27)(7), East => east_2_west(27)(7) , Grant => Signal_grant(27)(7));
2209
2210Arbiter_27_8 : Arbiter
2211
2212PORT MAP (Request => Request(78), North => south_2_north(26)(8), West => east_2_west(26)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
2213South => south_2_north(27)(8), East => east_2_west(27)(8) , Grant => Signal_grant(27)(8));
2214
2215Arbiter_27_9 : Arbiter
2216
2217PORT MAP (Request => Request(65), North => south_2_north(26)(9), West => east_2_west(26)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
2218South => south_2_north(27)(9), East => east_2_west(27)(9) , Grant => Signal_grant(27)(9));
2219
2220Arbiter_27_10 : Arbiter
2221
2222PORT MAP (Request => Request(52), North => south_2_north(26)(10), West => east_2_west(26)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
2223South => south_2_north(27)(10), East => east_2_west(27)(10) , Grant => Signal_grant(27)(10));
2224
2225Arbiter_27_11 : Arbiter
2226
2227PORT MAP (Request => Request(39), North => south_2_north(26)(11), West => east_2_west(26)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
2228South => south_2_north(27)(11), East => east_2_west(27)(11) , Grant => Signal_grant(27)(11));
2229
2230Arbiter_27_12 : Arbiter
2231
2232PORT MAP (Request => Request(26), North => south_2_north(26)(12), West => east_2_west(26)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
2233South => south_2_north(27)(12), East => east_2_west(27)(12) , Grant => Signal_grant(27)(12));
2234
2235Arbiter_27_13 : Arbiter
2236
2237PORT MAP (Request => Request(13), North => south_2_north(26)(13), West => east_2_west(26)(12), P => Signal_priority(1), Fifo_full => Fifo_full(13), 
2238South => south_2_north(27)(13), East => east_2_west(27)(13) , Grant => Signal_grant(27)(13));
2239
2240Arbiter_27_14 : Arbiter
2241
2242PORT MAP (Request => Request(196), North => south_2_north(26)(14), West => east_2_west(26)(13), P => Signal_priority(1), Fifo_full => Fifo_full(14), 
2243South => south_2_north(27)(14), East => east_2_west(27)(14) , Grant => Signal_grant(27)(14));
2244
2245
2246--processus permettant de roter la priorité des diagonales à chaque front d'horloge
2247 -- rotation round robin
2248         round_robin : process(clk)
2249        begin
2250                if rising_edge(clk) then
2251                 if reset ='1' then
2252                    Signal_priority <= "111111111111110000000000000";
2253                  elsif priority_rotation_en = '1' then
2254                    case Signal_priority is
2255                       when "111111111111110000000000000" => Signal_priority <= "011111111111111000000000000";
2256                       when "011111111111111000000000000" => Signal_priority <= "001111111111111100000000000";
2257                       when "001111111111111100000000000" => Signal_priority <= "000111111111111110000000000";
2258                       when "000111111111111110000000000" => Signal_priority <= "000011111111111111000000000";
2259                       when "000011111111111111000000000" => Signal_priority <= "000001111111111111100000000";
2260                       when "000001111111111111100000000" => Signal_priority <= "000000111111111111110000000";
2261                       when "000000111111111111110000000" => Signal_priority <= "000000011111111111111000000";
2262                       when "000000011111111111111000000" => Signal_priority <= "000000001111111111111100000";
2263                       when "000000001111111111111100000" => Signal_priority <= "000000000111111111111110000";
2264                       when "000000000111111111111110000" => Signal_priority <= "000000000011111111111111000";
2265                       when "000000000011111111111111000" => Signal_priority <= "000000000001111111111111100";
2266                       when "000000000001111111111111100" => Signal_priority <= "000000000000111111111111110";
2267                       when "000000000000111111111111110" => Signal_priority <= "000000000000011111111111111";
2268                       when "000000000000011111111111111" => Signal_priority <= "111111111111110000000000000";
2269                       when others    => Signal_priority <= "111111111111110000000000000";
2270                  end case;
2271                 end if;
2272             end if;
2273         end process;
2274
2275end Behavioral;
2276
Note: See TracBrowser for help on using the repository browser.