source: PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.03/SCHEDULER12_12.VHD @ 69

Last change on this file since 69 was 65, checked in by rolagamo, 11 years ago
File size: 88.3 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler12_12 is
32    Port ( Request : in  STD_LOGIC_VECTOR (144 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (12 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (12 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (144 downto 1));
38end Scheduler12_12;
39
40architecture Behavioral of Scheduler12_12 is
41--Declaration du types
42--tableau de signaux de connexion des cellules arbitres
43TYPE C_Bar_Signal_Array IS ARRAY(23 downto 1) of STD_LOGIC_VECTOR(12 downto 1);
44-- declaration du composant cellule d'arbitrage
45Component Arbiter
46  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
47        Grant,East,South : out  STD_LOGIC );
48End Component;--Signaux de connexion des cellues
49SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
50SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
51SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
52SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
53SIGNAL Signal_priority  : STD_LOGIC_VECTOR (23 DOWNTO 1);--signal pour la connection des vecteur de priorité
54SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
55 signal grant_latch : std_logic_vector(144 downto 1);
56 signal priority_rotation_en : std_logic;
57 signal Grant,req_grant :  std_logic_vector(144 downto 1);
58 begin
59
60--validation de la rotation de priorité lorsque aucun port n'emet
61req_grant<=(request and grant_latch);
62 priority_rotation_en <= '1' when unsigned(req_grant) = 0 or unsigned(priority_rotation) = 4095 else    '0';
63--latch servant qui memorise le signal grant pendant a transmission
64grant_latch_process : process(clk)
65 begin
66  if rising_edge(clk) then
67   if reset = '1' then
68                grant_latch <= (others => '0');
69         elsif  priority_rotation_en = '1' or unsigned(Grant_latch)=0 then
70           grant_latch <= Grant;
71   end if;
72   end if;
73 end process;
74 port_grant <= grant_latch;
75 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(13)(1); --  Grant(1,1)
76Grant(2)  <= Signal_grant(2)(2) or Signal_grant(14)(2); --  Grant(1,2)
77Grant(3)  <= Signal_grant(3)(3) or Signal_grant(15)(3); --  Grant(1,3)
78Grant(4)  <= Signal_grant(4)(4) or Signal_grant(16)(4); --  Grant(1,4)
79Grant(5)  <= Signal_grant(5)(5) or Signal_grant(17)(5); --  Grant(1,5)
80Grant(6)  <= Signal_grant(6)(6) or Signal_grant(18)(6); --  Grant(1,6)
81Grant(7)  <= Signal_grant(7)(7) or Signal_grant(19)(7); --  Grant(1,7)
82Grant(8)  <= Signal_grant(8)(8) or Signal_grant(20)(8); --  Grant(1,8)
83Grant(9)  <= Signal_grant(9)(9) or Signal_grant(21)(9); --  Grant(1,9)
84Grant(10)  <= Signal_grant(10)(10) or Signal_grant(22)(10); --  Grant(1,10)
85Grant(11)  <= Signal_grant(11)(11) or Signal_grant(23)(11); --  Grant(1,11)
86Grant(12)  <= Signal_grant(12)(12) ;                      --  Grant(1,12)
87Grant(13)  <= Signal_grant(2)(1) or Signal_grant(14)(1); --  Grant(2,1)
88Grant(14)  <= Signal_grant(3)(2) or Signal_grant(15)(2); --  Grant(2,2)
89Grant(15)  <= Signal_grant(4)(3) or Signal_grant(16)(3); --  Grant(2,3)
90Grant(16)  <= Signal_grant(5)(4) or Signal_grant(17)(4); --  Grant(2,4)
91Grant(17)  <= Signal_grant(6)(5) or Signal_grant(18)(5); --  Grant(2,5)
92Grant(18)  <= Signal_grant(7)(6) or Signal_grant(19)(6); --  Grant(2,6)
93Grant(19)  <= Signal_grant(8)(7) or Signal_grant(20)(7); --  Grant(2,7)
94Grant(20)  <= Signal_grant(9)(8) or Signal_grant(21)(8); --  Grant(2,8)
95Grant(21)  <= Signal_grant(10)(9) or Signal_grant(22)(9); --  Grant(2,9)
96Grant(22)  <= Signal_grant(11)(10) or Signal_grant(23)(10); --  Grant(2,10)
97Grant(23)  <= Signal_grant(12)(11) ;                      --  Grant(2,11)
98Grant(24)  <= Signal_grant(1)(12) or Signal_grant(13)(12); --  Grant(2,12)
99Grant(25)  <= Signal_grant(3)(1) or Signal_grant(15)(1); --  Grant(3,1)
100Grant(26)  <= Signal_grant(4)(2) or Signal_grant(16)(2); --  Grant(3,2)
101Grant(27)  <= Signal_grant(5)(3) or Signal_grant(17)(3); --  Grant(3,3)
102Grant(28)  <= Signal_grant(6)(4) or Signal_grant(18)(4); --  Grant(3,4)
103Grant(29)  <= Signal_grant(7)(5) or Signal_grant(19)(5); --  Grant(3,5)
104Grant(30)  <= Signal_grant(8)(6) or Signal_grant(20)(6); --  Grant(3,6)
105Grant(31)  <= Signal_grant(9)(7) or Signal_grant(21)(7); --  Grant(3,7)
106Grant(32)  <= Signal_grant(10)(8) or Signal_grant(22)(8); --  Grant(3,8)
107Grant(33)  <= Signal_grant(11)(9) or Signal_grant(23)(9); --  Grant(3,9)
108Grant(34)  <= Signal_grant(12)(10) ;                      --  Grant(3,10)
109Grant(35)  <= Signal_grant(1)(11) or Signal_grant(13)(11); --  Grant(3,11)
110Grant(36)  <= Signal_grant(2)(12) or Signal_grant(14)(12); --  Grant(3,12)
111Grant(37)  <= Signal_grant(4)(1) or Signal_grant(16)(1); --  Grant(4,1)
112Grant(38)  <= Signal_grant(5)(2) or Signal_grant(17)(2); --  Grant(4,2)
113Grant(39)  <= Signal_grant(6)(3) or Signal_grant(18)(3); --  Grant(4,3)
114Grant(40)  <= Signal_grant(7)(4) or Signal_grant(19)(4); --  Grant(4,4)
115Grant(41)  <= Signal_grant(8)(5) or Signal_grant(20)(5); --  Grant(4,5)
116Grant(42)  <= Signal_grant(9)(6) or Signal_grant(21)(6); --  Grant(4,6)
117Grant(43)  <= Signal_grant(10)(7) or Signal_grant(22)(7); --  Grant(4,7)
118Grant(44)  <= Signal_grant(11)(8) or Signal_grant(23)(8); --  Grant(4,8)
119Grant(45)  <= Signal_grant(12)(9) ;                      --  Grant(4,9)
120Grant(46)  <= Signal_grant(1)(10) or Signal_grant(13)(10); --  Grant(4,10)
121Grant(47)  <= Signal_grant(2)(11) or Signal_grant(14)(11); --  Grant(4,11)
122Grant(48)  <= Signal_grant(3)(12) or Signal_grant(15)(12); --  Grant(4,12)
123Grant(49)  <= Signal_grant(5)(1) or Signal_grant(17)(1); --  Grant(5,1)
124Grant(50)  <= Signal_grant(6)(2) or Signal_grant(18)(2); --  Grant(5,2)
125Grant(51)  <= Signal_grant(7)(3) or Signal_grant(19)(3); --  Grant(5,3)
126Grant(52)  <= Signal_grant(8)(4) or Signal_grant(20)(4); --  Grant(5,4)
127Grant(53)  <= Signal_grant(9)(5) or Signal_grant(21)(5); --  Grant(5,5)
128Grant(54)  <= Signal_grant(10)(6) or Signal_grant(22)(6); --  Grant(5,6)
129Grant(55)  <= Signal_grant(11)(7) or Signal_grant(23)(7); --  Grant(5,7)
130Grant(56)  <= Signal_grant(12)(8) ;                      --  Grant(5,8)
131Grant(57)  <= Signal_grant(1)(9) or Signal_grant(13)(9); --  Grant(5,9)
132Grant(58)  <= Signal_grant(2)(10) or Signal_grant(14)(10); --  Grant(5,10)
133Grant(59)  <= Signal_grant(3)(11) or Signal_grant(15)(11); --  Grant(5,11)
134Grant(60)  <= Signal_grant(4)(12) or Signal_grant(16)(12); --  Grant(5,12)
135Grant(61)  <= Signal_grant(6)(1) or Signal_grant(18)(1); --  Grant(6,1)
136Grant(62)  <= Signal_grant(7)(2) or Signal_grant(19)(2); --  Grant(6,2)
137Grant(63)  <= Signal_grant(8)(3) or Signal_grant(20)(3); --  Grant(6,3)
138Grant(64)  <= Signal_grant(9)(4) or Signal_grant(21)(4); --  Grant(6,4)
139Grant(65)  <= Signal_grant(10)(5) or Signal_grant(22)(5); --  Grant(6,5)
140Grant(66)  <= Signal_grant(11)(6) or Signal_grant(23)(6); --  Grant(6,6)
141Grant(67)  <= Signal_grant(12)(7) ;                      --  Grant(6,7)
142Grant(68)  <= Signal_grant(1)(8) or Signal_grant(13)(8); --  Grant(6,8)
143Grant(69)  <= Signal_grant(2)(9) or Signal_grant(14)(9); --  Grant(6,9)
144Grant(70)  <= Signal_grant(3)(10) or Signal_grant(15)(10); --  Grant(6,10)
145Grant(71)  <= Signal_grant(4)(11) or Signal_grant(16)(11); --  Grant(6,11)
146Grant(72)  <= Signal_grant(5)(12) or Signal_grant(17)(12); --  Grant(6,12)
147Grant(73)  <= Signal_grant(7)(1) or Signal_grant(19)(1); --  Grant(7,1)
148Grant(74)  <= Signal_grant(8)(2) or Signal_grant(20)(2); --  Grant(7,2)
149Grant(75)  <= Signal_grant(9)(3) or Signal_grant(21)(3); --  Grant(7,3)
150Grant(76)  <= Signal_grant(10)(4) or Signal_grant(22)(4); --  Grant(7,4)
151Grant(77)  <= Signal_grant(11)(5) or Signal_grant(23)(5); --  Grant(7,5)
152Grant(78)  <= Signal_grant(12)(6) ;                      --  Grant(7,6)
153Grant(79)  <= Signal_grant(1)(7) or Signal_grant(13)(7); --  Grant(7,7)
154Grant(80)  <= Signal_grant(2)(8) or Signal_grant(14)(8); --  Grant(7,8)
155Grant(81)  <= Signal_grant(3)(9) or Signal_grant(15)(9); --  Grant(7,9)
156Grant(82)  <= Signal_grant(4)(10) or Signal_grant(16)(10); --  Grant(7,10)
157Grant(83)  <= Signal_grant(5)(11) or Signal_grant(17)(11); --  Grant(7,11)
158Grant(84)  <= Signal_grant(6)(12) or Signal_grant(18)(12); --  Grant(7,12)
159Grant(85)  <= Signal_grant(8)(1) or Signal_grant(20)(1); --  Grant(8,1)
160Grant(86)  <= Signal_grant(9)(2) or Signal_grant(21)(2); --  Grant(8,2)
161Grant(87)  <= Signal_grant(10)(3) or Signal_grant(22)(3); --  Grant(8,3)
162Grant(88)  <= Signal_grant(11)(4) or Signal_grant(23)(4); --  Grant(8,4)
163Grant(89)  <= Signal_grant(12)(5) ;                      --  Grant(8,5)
164Grant(90)  <= Signal_grant(1)(6) or Signal_grant(13)(6); --  Grant(8,6)
165Grant(91)  <= Signal_grant(2)(7) or Signal_grant(14)(7); --  Grant(8,7)
166Grant(92)  <= Signal_grant(3)(8) or Signal_grant(15)(8); --  Grant(8,8)
167Grant(93)  <= Signal_grant(4)(9) or Signal_grant(16)(9); --  Grant(8,9)
168Grant(94)  <= Signal_grant(5)(10) or Signal_grant(17)(10); --  Grant(8,10)
169Grant(95)  <= Signal_grant(6)(11) or Signal_grant(18)(11); --  Grant(8,11)
170Grant(96)  <= Signal_grant(7)(12) or Signal_grant(19)(12); --  Grant(8,12)
171Grant(97)  <= Signal_grant(9)(1) or Signal_grant(21)(1); --  Grant(9,1)
172Grant(98)  <= Signal_grant(10)(2) or Signal_grant(22)(2); --  Grant(9,2)
173Grant(99)  <= Signal_grant(11)(3) or Signal_grant(23)(3); --  Grant(9,3)
174Grant(100)  <= Signal_grant(12)(4) ;                      --  Grant(9,4)
175Grant(101)  <= Signal_grant(1)(5) or Signal_grant(13)(5); --  Grant(9,5)
176Grant(102)  <= Signal_grant(2)(6) or Signal_grant(14)(6); --  Grant(9,6)
177Grant(103)  <= Signal_grant(3)(7) or Signal_grant(15)(7); --  Grant(9,7)
178Grant(104)  <= Signal_grant(4)(8) or Signal_grant(16)(8); --  Grant(9,8)
179Grant(105)  <= Signal_grant(5)(9) or Signal_grant(17)(9); --  Grant(9,9)
180Grant(106)  <= Signal_grant(6)(10) or Signal_grant(18)(10); --  Grant(9,10)
181Grant(107)  <= Signal_grant(7)(11) or Signal_grant(19)(11); --  Grant(9,11)
182Grant(108)  <= Signal_grant(8)(12) or Signal_grant(20)(12); --  Grant(9,12)
183Grant(109)  <= Signal_grant(10)(1) or Signal_grant(22)(1); --  Grant(10,1)
184Grant(110)  <= Signal_grant(11)(2) or Signal_grant(23)(2); --  Grant(10,2)
185Grant(111)  <= Signal_grant(12)(3) ;                      --  Grant(10,3)
186Grant(112)  <= Signal_grant(1)(4) or Signal_grant(13)(4); --  Grant(10,4)
187Grant(113)  <= Signal_grant(2)(5) or Signal_grant(14)(5); --  Grant(10,5)
188Grant(114)  <= Signal_grant(3)(6) or Signal_grant(15)(6); --  Grant(10,6)
189Grant(115)  <= Signal_grant(4)(7) or Signal_grant(16)(7); --  Grant(10,7)
190Grant(116)  <= Signal_grant(5)(8) or Signal_grant(17)(8); --  Grant(10,8)
191Grant(117)  <= Signal_grant(6)(9) or Signal_grant(18)(9); --  Grant(10,9)
192Grant(118)  <= Signal_grant(7)(10) or Signal_grant(19)(10); --  Grant(10,10)
193Grant(119)  <= Signal_grant(8)(11) or Signal_grant(20)(11); --  Grant(10,11)
194Grant(120)  <= Signal_grant(9)(12) or Signal_grant(21)(12); --  Grant(10,12)
195Grant(121)  <= Signal_grant(11)(1) or Signal_grant(23)(1); --  Grant(11,1)
196Grant(122)  <= Signal_grant(12)(2) ;                      --  Grant(11,2)
197Grant(123)  <= Signal_grant(1)(3) or Signal_grant(13)(3); --  Grant(11,3)
198Grant(124)  <= Signal_grant(2)(4) or Signal_grant(14)(4); --  Grant(11,4)
199Grant(125)  <= Signal_grant(3)(5) or Signal_grant(15)(5); --  Grant(11,5)
200Grant(126)  <= Signal_grant(4)(6) or Signal_grant(16)(6); --  Grant(11,6)
201Grant(127)  <= Signal_grant(5)(7) or Signal_grant(17)(7); --  Grant(11,7)
202Grant(128)  <= Signal_grant(6)(8) or Signal_grant(18)(8); --  Grant(11,8)
203Grant(129)  <= Signal_grant(7)(9) or Signal_grant(19)(9); --  Grant(11,9)
204Grant(130)  <= Signal_grant(8)(10) or Signal_grant(20)(10); --  Grant(11,10)
205Grant(131)  <= Signal_grant(9)(11) or Signal_grant(21)(11); --  Grant(11,11)
206Grant(132)  <= Signal_grant(10)(12) or Signal_grant(22)(12); --  Grant(11,12)
207Grant(133)  <= Signal_grant(12)(1) ;                      --  Grant(12,1)
208Grant(134)  <= Signal_grant(1)(2) or Signal_grant(13)(2); --  Grant(12,2)
209Grant(135)  <= Signal_grant(2)(3) or Signal_grant(14)(3); --  Grant(12,3)
210Grant(136)  <= Signal_grant(3)(4) or Signal_grant(15)(4); --  Grant(12,4)
211Grant(137)  <= Signal_grant(4)(5) or Signal_grant(16)(5); --  Grant(12,5)
212Grant(138)  <= Signal_grant(5)(6) or Signal_grant(17)(6); --  Grant(12,6)
213Grant(139)  <= Signal_grant(6)(7) or Signal_grant(18)(7); --  Grant(12,7)
214Grant(140)  <= Signal_grant(7)(8) or Signal_grant(19)(8); --  Grant(12,8)
215Grant(141)  <= Signal_grant(8)(9) or Signal_grant(20)(9); --  Grant(12,9)
216Grant(142)  <= Signal_grant(9)(10) or Signal_grant(21)(10); --  Grant(12,10)
217Grant(143)  <= Signal_grant(10)(11) or Signal_grant(22)(11); --  Grant(12,11)
218Grant(144)  <= Signal_grant(11)(12) or Signal_grant(23)(12); --  Grant(12,12)
219High <= '1';
220
221----instantiations des cellules arbitres et interconnection
222
223-------------------------- Diagonale n° 1
224
225
226Arbiter_1_1 : Arbiter
227
228PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(1), 
229South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
230
231Arbiter_1_2 : Arbiter
232
233PORT MAP (Request => Request(134), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(2), 
234South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
235
236Arbiter_1_3 : Arbiter
237
238PORT MAP (Request => Request(123), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(3), 
239South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
240
241Arbiter_1_4 : Arbiter
242
243PORT MAP (Request => Request(112), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(4), 
244South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
245
246Arbiter_1_5 : Arbiter
247
248PORT MAP (Request => Request(101), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(5), 
249South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
250
251Arbiter_1_6 : Arbiter
252
253PORT MAP (Request => Request(90), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(6), 
254South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
255
256Arbiter_1_7 : Arbiter
257
258PORT MAP (Request => Request(79), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(7), 
259South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
260
261Arbiter_1_8 : Arbiter
262
263PORT MAP (Request => Request(68), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(8), 
264South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
265
266Arbiter_1_9 : Arbiter
267
268PORT MAP (Request => Request(57), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(9), 
269South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
270
271Arbiter_1_10 : Arbiter
272
273PORT MAP (Request => Request(46), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(10), 
274South => south_2_north(1)(10), East => east_2_west(1)(10) , Grant => Signal_grant(1)(10));
275
276Arbiter_1_11 : Arbiter
277
278PORT MAP (Request => Request(35), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(11), 
279South => south_2_north(1)(11), East => east_2_west(1)(11) , Grant => Signal_grant(1)(11));
280
281Arbiter_1_12 : Arbiter
282
283PORT MAP (Request => Request(24), North => High, West => High, P => Signal_priority(23), Fifo_full => Fifo_full(12), 
284South => south_2_north(1)(12), East => east_2_west(1)(12) , Grant => Signal_grant(1)(12));
285
286-------------------------- Diagonale n° 2
287
288
289Arbiter_2_1 : Arbiter
290
291PORT MAP (Request => Request(13), North => south_2_north(1)(1), West => east_2_west(1)(12), P => Signal_priority(22), Fifo_full => Fifo_full(1), 
292South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
293
294Arbiter_2_2 : Arbiter
295
296PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(22), Fifo_full => Fifo_full(2), 
297South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
298
299Arbiter_2_3 : Arbiter
300
301PORT MAP (Request => Request(135), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(22), Fifo_full => Fifo_full(3), 
302South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
303
304Arbiter_2_4 : Arbiter
305
306PORT MAP (Request => Request(124), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(22), Fifo_full => Fifo_full(4), 
307South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
308
309Arbiter_2_5 : Arbiter
310
311PORT MAP (Request => Request(113), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(22), Fifo_full => Fifo_full(5), 
312South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
313
314Arbiter_2_6 : Arbiter
315
316PORT MAP (Request => Request(102), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(22), Fifo_full => Fifo_full(6), 
317South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
318
319Arbiter_2_7 : Arbiter
320
321PORT MAP (Request => Request(91), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(22), Fifo_full => Fifo_full(7), 
322South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
323
324Arbiter_2_8 : Arbiter
325
326PORT MAP (Request => Request(80), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(22), Fifo_full => Fifo_full(8), 
327South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
328
329Arbiter_2_9 : Arbiter
330
331PORT MAP (Request => Request(69), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(22), Fifo_full => Fifo_full(9), 
332South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
333
334Arbiter_2_10 : Arbiter
335
336PORT MAP (Request => Request(58), North => south_2_north(1)(10), West => east_2_west(1)(9), P => Signal_priority(22), Fifo_full => Fifo_full(10), 
337South => south_2_north(2)(10), East => east_2_west(2)(10) , Grant => Signal_grant(2)(10));
338
339Arbiter_2_11 : Arbiter
340
341PORT MAP (Request => Request(47), North => south_2_north(1)(11), West => east_2_west(1)(10), P => Signal_priority(22), Fifo_full => Fifo_full(11), 
342South => south_2_north(2)(11), East => east_2_west(2)(11) , Grant => Signal_grant(2)(11));
343
344Arbiter_2_12 : Arbiter
345
346PORT MAP (Request => Request(36), North => south_2_north(1)(12), West => east_2_west(1)(11), P => Signal_priority(22), Fifo_full => Fifo_full(12), 
347South => south_2_north(2)(12), East => east_2_west(2)(12) , Grant => Signal_grant(2)(12));
348
349-------------------------- Diagonale n° 3
350
351
352Arbiter_3_1 : Arbiter
353
354PORT MAP (Request => Request(25), North => south_2_north(2)(1), West => east_2_west(2)(12), P => Signal_priority(21), Fifo_full => Fifo_full(1), 
355South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
356
357Arbiter_3_2 : Arbiter
358
359PORT MAP (Request => Request(14), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(21), Fifo_full => Fifo_full(2), 
360South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
361
362Arbiter_3_3 : Arbiter
363
364PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(21), Fifo_full => Fifo_full(3), 
365South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
366
367Arbiter_3_4 : Arbiter
368
369PORT MAP (Request => Request(136), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(21), Fifo_full => Fifo_full(4), 
370South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
371
372Arbiter_3_5 : Arbiter
373
374PORT MAP (Request => Request(125), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(21), Fifo_full => Fifo_full(5), 
375South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
376
377Arbiter_3_6 : Arbiter
378
379PORT MAP (Request => Request(114), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(21), Fifo_full => Fifo_full(6), 
380South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
381
382Arbiter_3_7 : Arbiter
383
384PORT MAP (Request => Request(103), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(21), Fifo_full => Fifo_full(7), 
385South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
386
387Arbiter_3_8 : Arbiter
388
389PORT MAP (Request => Request(92), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(21), Fifo_full => Fifo_full(8), 
390South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
391
392Arbiter_3_9 : Arbiter
393
394PORT MAP (Request => Request(81), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(21), Fifo_full => Fifo_full(9), 
395South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
396
397Arbiter_3_10 : Arbiter
398
399PORT MAP (Request => Request(70), North => south_2_north(2)(10), West => east_2_west(2)(9), P => Signal_priority(21), Fifo_full => Fifo_full(10), 
400South => south_2_north(3)(10), East => east_2_west(3)(10) , Grant => Signal_grant(3)(10));
401
402Arbiter_3_11 : Arbiter
403
404PORT MAP (Request => Request(59), North => south_2_north(2)(11), West => east_2_west(2)(10), P => Signal_priority(21), Fifo_full => Fifo_full(11), 
405South => south_2_north(3)(11), East => east_2_west(3)(11) , Grant => Signal_grant(3)(11));
406
407Arbiter_3_12 : Arbiter
408
409PORT MAP (Request => Request(48), North => south_2_north(2)(12), West => east_2_west(2)(11), P => Signal_priority(21), Fifo_full => Fifo_full(12), 
410South => south_2_north(3)(12), East => east_2_west(3)(12) , Grant => Signal_grant(3)(12));
411
412-------------------------- Diagonale n° 4
413
414
415Arbiter_4_1 : Arbiter
416
417PORT MAP (Request => Request(37), North => south_2_north(3)(1), West => east_2_west(3)(12), P => Signal_priority(20), Fifo_full => Fifo_full(1), 
418South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
419
420Arbiter_4_2 : Arbiter
421
422PORT MAP (Request => Request(26), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(20), Fifo_full => Fifo_full(2), 
423South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
424
425Arbiter_4_3 : Arbiter
426
427PORT MAP (Request => Request(15), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(20), Fifo_full => Fifo_full(3), 
428South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
429
430Arbiter_4_4 : Arbiter
431
432PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(20), Fifo_full => Fifo_full(4), 
433South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
434
435Arbiter_4_5 : Arbiter
436
437PORT MAP (Request => Request(137), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(20), Fifo_full => Fifo_full(5), 
438South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
439
440Arbiter_4_6 : Arbiter
441
442PORT MAP (Request => Request(126), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(20), Fifo_full => Fifo_full(6), 
443South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
444
445Arbiter_4_7 : Arbiter
446
447PORT MAP (Request => Request(115), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(20), Fifo_full => Fifo_full(7), 
448South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
449
450Arbiter_4_8 : Arbiter
451
452PORT MAP (Request => Request(104), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(20), Fifo_full => Fifo_full(8), 
453South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
454
455Arbiter_4_9 : Arbiter
456
457PORT MAP (Request => Request(93), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(20), Fifo_full => Fifo_full(9), 
458South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
459
460Arbiter_4_10 : Arbiter
461
462PORT MAP (Request => Request(82), North => south_2_north(3)(10), West => east_2_west(3)(9), P => Signal_priority(20), Fifo_full => Fifo_full(10), 
463South => south_2_north(4)(10), East => east_2_west(4)(10) , Grant => Signal_grant(4)(10));
464
465Arbiter_4_11 : Arbiter
466
467PORT MAP (Request => Request(71), North => south_2_north(3)(11), West => east_2_west(3)(10), P => Signal_priority(20), Fifo_full => Fifo_full(11), 
468South => south_2_north(4)(11), East => east_2_west(4)(11) , Grant => Signal_grant(4)(11));
469
470Arbiter_4_12 : Arbiter
471
472PORT MAP (Request => Request(60), North => south_2_north(3)(12), West => east_2_west(3)(11), P => Signal_priority(20), Fifo_full => Fifo_full(12), 
473South => south_2_north(4)(12), East => east_2_west(4)(12) , Grant => Signal_grant(4)(12));
474
475-------------------------- Diagonale n° 5
476
477
478Arbiter_5_1 : Arbiter
479
480PORT MAP (Request => Request(49), North => south_2_north(4)(1), West => east_2_west(4)(12), P => Signal_priority(19), Fifo_full => Fifo_full(1), 
481South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
482
483Arbiter_5_2 : Arbiter
484
485PORT MAP (Request => Request(38), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(19), Fifo_full => Fifo_full(2), 
486South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
487
488Arbiter_5_3 : Arbiter
489
490PORT MAP (Request => Request(27), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(19), Fifo_full => Fifo_full(3), 
491South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
492
493Arbiter_5_4 : Arbiter
494
495PORT MAP (Request => Request(16), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(19), Fifo_full => Fifo_full(4), 
496South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
497
498Arbiter_5_5 : Arbiter
499
500PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(19), Fifo_full => Fifo_full(5), 
501South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
502
503Arbiter_5_6 : Arbiter
504
505PORT MAP (Request => Request(138), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(19), Fifo_full => Fifo_full(6), 
506South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
507
508Arbiter_5_7 : Arbiter
509
510PORT MAP (Request => Request(127), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(19), Fifo_full => Fifo_full(7), 
511South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
512
513Arbiter_5_8 : Arbiter
514
515PORT MAP (Request => Request(116), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(19), Fifo_full => Fifo_full(8), 
516South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
517
518Arbiter_5_9 : Arbiter
519
520PORT MAP (Request => Request(105), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(19), Fifo_full => Fifo_full(9), 
521South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
522
523Arbiter_5_10 : Arbiter
524
525PORT MAP (Request => Request(94), North => south_2_north(4)(10), West => east_2_west(4)(9), P => Signal_priority(19), Fifo_full => Fifo_full(10), 
526South => south_2_north(5)(10), East => east_2_west(5)(10) , Grant => Signal_grant(5)(10));
527
528Arbiter_5_11 : Arbiter
529
530PORT MAP (Request => Request(83), North => south_2_north(4)(11), West => east_2_west(4)(10), P => Signal_priority(19), Fifo_full => Fifo_full(11), 
531South => south_2_north(5)(11), East => east_2_west(5)(11) , Grant => Signal_grant(5)(11));
532
533Arbiter_5_12 : Arbiter
534
535PORT MAP (Request => Request(72), North => south_2_north(4)(12), West => east_2_west(4)(11), P => Signal_priority(19), Fifo_full => Fifo_full(12), 
536South => south_2_north(5)(12), East => east_2_west(5)(12) , Grant => Signal_grant(5)(12));
537
538-------------------------- Diagonale n° 6
539
540
541Arbiter_6_1 : Arbiter
542
543PORT MAP (Request => Request(61), North => south_2_north(5)(1), West => east_2_west(5)(12), P => Signal_priority(18), Fifo_full => Fifo_full(1), 
544South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
545
546Arbiter_6_2 : Arbiter
547
548PORT MAP (Request => Request(50), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(18), Fifo_full => Fifo_full(2), 
549South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
550
551Arbiter_6_3 : Arbiter
552
553PORT MAP (Request => Request(39), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(18), Fifo_full => Fifo_full(3), 
554South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
555
556Arbiter_6_4 : Arbiter
557
558PORT MAP (Request => Request(28), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(18), Fifo_full => Fifo_full(4), 
559South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
560
561Arbiter_6_5 : Arbiter
562
563PORT MAP (Request => Request(17), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(18), Fifo_full => Fifo_full(5), 
564South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
565
566Arbiter_6_6 : Arbiter
567
568PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(18), Fifo_full => Fifo_full(6), 
569South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
570
571Arbiter_6_7 : Arbiter
572
573PORT MAP (Request => Request(139), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(18), Fifo_full => Fifo_full(7), 
574South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
575
576Arbiter_6_8 : Arbiter
577
578PORT MAP (Request => Request(128), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(18), Fifo_full => Fifo_full(8), 
579South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
580
581Arbiter_6_9 : Arbiter
582
583PORT MAP (Request => Request(117), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(18), Fifo_full => Fifo_full(9), 
584South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
585
586Arbiter_6_10 : Arbiter
587
588PORT MAP (Request => Request(106), North => south_2_north(5)(10), West => east_2_west(5)(9), P => Signal_priority(18), Fifo_full => Fifo_full(10), 
589South => south_2_north(6)(10), East => east_2_west(6)(10) , Grant => Signal_grant(6)(10));
590
591Arbiter_6_11 : Arbiter
592
593PORT MAP (Request => Request(95), North => south_2_north(5)(11), West => east_2_west(5)(10), P => Signal_priority(18), Fifo_full => Fifo_full(11), 
594South => south_2_north(6)(11), East => east_2_west(6)(11) , Grant => Signal_grant(6)(11));
595
596Arbiter_6_12 : Arbiter
597
598PORT MAP (Request => Request(84), North => south_2_north(5)(12), West => east_2_west(5)(11), P => Signal_priority(18), Fifo_full => Fifo_full(12), 
599South => south_2_north(6)(12), East => east_2_west(6)(12) , Grant => Signal_grant(6)(12));
600
601-------------------------- Diagonale n° 7
602
603
604Arbiter_7_1 : Arbiter
605
606PORT MAP (Request => Request(73), North => south_2_north(6)(1), West => east_2_west(6)(12), P => Signal_priority(17), Fifo_full => Fifo_full(1), 
607South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
608
609Arbiter_7_2 : Arbiter
610
611PORT MAP (Request => Request(62), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(17), Fifo_full => Fifo_full(2), 
612South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
613
614Arbiter_7_3 : Arbiter
615
616PORT MAP (Request => Request(51), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(17), Fifo_full => Fifo_full(3), 
617South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
618
619Arbiter_7_4 : Arbiter
620
621PORT MAP (Request => Request(40), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(17), Fifo_full => Fifo_full(4), 
622South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
623
624Arbiter_7_5 : Arbiter
625
626PORT MAP (Request => Request(29), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(17), Fifo_full => Fifo_full(5), 
627South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
628
629Arbiter_7_6 : Arbiter
630
631PORT MAP (Request => Request(18), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(17), Fifo_full => Fifo_full(6), 
632South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
633
634Arbiter_7_7 : Arbiter
635
636PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(17), Fifo_full => Fifo_full(7), 
637South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
638
639Arbiter_7_8 : Arbiter
640
641PORT MAP (Request => Request(140), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(17), Fifo_full => Fifo_full(8), 
642South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
643
644Arbiter_7_9 : Arbiter
645
646PORT MAP (Request => Request(129), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(17), Fifo_full => Fifo_full(9), 
647South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
648
649Arbiter_7_10 : Arbiter
650
651PORT MAP (Request => Request(118), North => south_2_north(6)(10), West => east_2_west(6)(9), P => Signal_priority(17), Fifo_full => Fifo_full(10), 
652South => south_2_north(7)(10), East => east_2_west(7)(10) , Grant => Signal_grant(7)(10));
653
654Arbiter_7_11 : Arbiter
655
656PORT MAP (Request => Request(107), North => south_2_north(6)(11), West => east_2_west(6)(10), P => Signal_priority(17), Fifo_full => Fifo_full(11), 
657South => south_2_north(7)(11), East => east_2_west(7)(11) , Grant => Signal_grant(7)(11));
658
659Arbiter_7_12 : Arbiter
660
661PORT MAP (Request => Request(96), North => south_2_north(6)(12), West => east_2_west(6)(11), P => Signal_priority(17), Fifo_full => Fifo_full(12), 
662South => south_2_north(7)(12), East => east_2_west(7)(12) , Grant => Signal_grant(7)(12));
663
664-------------------------- Diagonale n° 8
665
666
667Arbiter_8_1 : Arbiter
668
669PORT MAP (Request => Request(85), North => south_2_north(7)(1), West => east_2_west(7)(12), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
670South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
671
672Arbiter_8_2 : Arbiter
673
674PORT MAP (Request => Request(74), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
675South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
676
677Arbiter_8_3 : Arbiter
678
679PORT MAP (Request => Request(63), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
680South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
681
682Arbiter_8_4 : Arbiter
683
684PORT MAP (Request => Request(52), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
685South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
686
687Arbiter_8_5 : Arbiter
688
689PORT MAP (Request => Request(41), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
690South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
691
692Arbiter_8_6 : Arbiter
693
694PORT MAP (Request => Request(30), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
695South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
696
697Arbiter_8_7 : Arbiter
698
699PORT MAP (Request => Request(19), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
700South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
701
702Arbiter_8_8 : Arbiter
703
704PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
705South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
706
707Arbiter_8_9 : Arbiter
708
709PORT MAP (Request => Request(141), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
710South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
711
712Arbiter_8_10 : Arbiter
713
714PORT MAP (Request => Request(130), North => south_2_north(7)(10), West => east_2_west(7)(9), P => Signal_priority(16), Fifo_full => Fifo_full(10), 
715South => south_2_north(8)(10), East => east_2_west(8)(10) , Grant => Signal_grant(8)(10));
716
717Arbiter_8_11 : Arbiter
718
719PORT MAP (Request => Request(119), North => south_2_north(7)(11), West => east_2_west(7)(10), P => Signal_priority(16), Fifo_full => Fifo_full(11), 
720South => south_2_north(8)(11), East => east_2_west(8)(11) , Grant => Signal_grant(8)(11));
721
722Arbiter_8_12 : Arbiter
723
724PORT MAP (Request => Request(108), North => south_2_north(7)(12), West => east_2_west(7)(11), P => Signal_priority(16), Fifo_full => Fifo_full(12), 
725South => south_2_north(8)(12), East => east_2_west(8)(12) , Grant => Signal_grant(8)(12));
726
727-------------------------- Diagonale n° 9
728
729
730Arbiter_9_1 : Arbiter
731
732PORT MAP (Request => Request(97), North => south_2_north(8)(1), West => east_2_west(8)(12), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
733South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
734
735Arbiter_9_2 : Arbiter
736
737PORT MAP (Request => Request(86), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
738South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
739
740Arbiter_9_3 : Arbiter
741
742PORT MAP (Request => Request(75), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
743South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
744
745Arbiter_9_4 : Arbiter
746
747PORT MAP (Request => Request(64), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
748South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
749
750Arbiter_9_5 : Arbiter
751
752PORT MAP (Request => Request(53), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
753South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
754
755Arbiter_9_6 : Arbiter
756
757PORT MAP (Request => Request(42), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
758South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
759
760Arbiter_9_7 : Arbiter
761
762PORT MAP (Request => Request(31), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
763South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
764
765Arbiter_9_8 : Arbiter
766
767PORT MAP (Request => Request(20), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
768South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
769
770Arbiter_9_9 : Arbiter
771
772PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
773South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
774
775Arbiter_9_10 : Arbiter
776
777PORT MAP (Request => Request(142), North => south_2_north(8)(10), West => east_2_west(8)(9), P => Signal_priority(15), Fifo_full => Fifo_full(10), 
778South => south_2_north(9)(10), East => east_2_west(9)(10) , Grant => Signal_grant(9)(10));
779
780Arbiter_9_11 : Arbiter
781
782PORT MAP (Request => Request(131), North => south_2_north(8)(11), West => east_2_west(8)(10), P => Signal_priority(15), Fifo_full => Fifo_full(11), 
783South => south_2_north(9)(11), East => east_2_west(9)(11) , Grant => Signal_grant(9)(11));
784
785Arbiter_9_12 : Arbiter
786
787PORT MAP (Request => Request(120), North => south_2_north(8)(12), West => east_2_west(8)(11), P => Signal_priority(15), Fifo_full => Fifo_full(12), 
788South => south_2_north(9)(12), East => east_2_west(9)(12) , Grant => Signal_grant(9)(12));
789
790-------------------------- Diagonale n° 10
791
792
793Arbiter_10_1 : Arbiter
794
795PORT MAP (Request => Request(109), North => south_2_north(9)(1), West => east_2_west(9)(12), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
796South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
797
798Arbiter_10_2 : Arbiter
799
800PORT MAP (Request => Request(98), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
801South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
802
803Arbiter_10_3 : Arbiter
804
805PORT MAP (Request => Request(87), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
806South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
807
808Arbiter_10_4 : Arbiter
809
810PORT MAP (Request => Request(76), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
811South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
812
813Arbiter_10_5 : Arbiter
814
815PORT MAP (Request => Request(65), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
816South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
817
818Arbiter_10_6 : Arbiter
819
820PORT MAP (Request => Request(54), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
821South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
822
823Arbiter_10_7 : Arbiter
824
825PORT MAP (Request => Request(43), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
826South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
827
828Arbiter_10_8 : Arbiter
829
830PORT MAP (Request => Request(32), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
831South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
832
833Arbiter_10_9 : Arbiter
834
835PORT MAP (Request => Request(21), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
836South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
837
838Arbiter_10_10 : Arbiter
839
840PORT MAP (Request => Request(10), North => south_2_north(9)(10), West => east_2_west(9)(9), P => Signal_priority(14), Fifo_full => Fifo_full(10), 
841South => south_2_north(10)(10), East => east_2_west(10)(10) , Grant => Signal_grant(10)(10));
842
843Arbiter_10_11 : Arbiter
844
845PORT MAP (Request => Request(143), North => south_2_north(9)(11), West => east_2_west(9)(10), P => Signal_priority(14), Fifo_full => Fifo_full(11), 
846South => south_2_north(10)(11), East => east_2_west(10)(11) , Grant => Signal_grant(10)(11));
847
848Arbiter_10_12 : Arbiter
849
850PORT MAP (Request => Request(132), North => south_2_north(9)(12), West => east_2_west(9)(11), P => Signal_priority(14), Fifo_full => Fifo_full(12), 
851South => south_2_north(10)(12), East => east_2_west(10)(12) , Grant => Signal_grant(10)(12));
852
853-------------------------- Diagonale n° 11
854
855
856Arbiter_11_1 : Arbiter
857
858PORT MAP (Request => Request(121), North => south_2_north(10)(1), West => east_2_west(10)(12), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
859South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
860
861Arbiter_11_2 : Arbiter
862
863PORT MAP (Request => Request(110), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
864South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
865
866Arbiter_11_3 : Arbiter
867
868PORT MAP (Request => Request(99), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
869South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
870
871Arbiter_11_4 : Arbiter
872
873PORT MAP (Request => Request(88), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
874South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
875
876Arbiter_11_5 : Arbiter
877
878PORT MAP (Request => Request(77), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
879South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
880
881Arbiter_11_6 : Arbiter
882
883PORT MAP (Request => Request(66), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
884South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
885
886Arbiter_11_7 : Arbiter
887
888PORT MAP (Request => Request(55), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
889South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
890
891Arbiter_11_8 : Arbiter
892
893PORT MAP (Request => Request(44), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
894South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
895
896Arbiter_11_9 : Arbiter
897
898PORT MAP (Request => Request(33), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
899South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
900
901Arbiter_11_10 : Arbiter
902
903PORT MAP (Request => Request(22), North => south_2_north(10)(10), West => east_2_west(10)(9), P => Signal_priority(13), Fifo_full => Fifo_full(10), 
904South => south_2_north(11)(10), East => east_2_west(11)(10) , Grant => Signal_grant(11)(10));
905
906Arbiter_11_11 : Arbiter
907
908PORT MAP (Request => Request(11), North => south_2_north(10)(11), West => east_2_west(10)(10), P => Signal_priority(13), Fifo_full => Fifo_full(11), 
909South => south_2_north(11)(11), East => east_2_west(11)(11) , Grant => Signal_grant(11)(11));
910
911Arbiter_11_12 : Arbiter
912
913PORT MAP (Request => Request(144), North => south_2_north(10)(12), West => east_2_west(10)(11), P => Signal_priority(13), Fifo_full => Fifo_full(12), 
914South => south_2_north(11)(12), East => east_2_west(11)(12) , Grant => Signal_grant(11)(12));
915
916-------------------------- Diagonale n° 12
917
918
919Arbiter_12_1 : Arbiter
920
921PORT MAP (Request => Request(133), North => south_2_north(11)(1), West => east_2_west(11)(12), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
922South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
923
924Arbiter_12_2 : Arbiter
925
926PORT MAP (Request => Request(122), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
927South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
928
929Arbiter_12_3 : Arbiter
930
931PORT MAP (Request => Request(111), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
932South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
933
934Arbiter_12_4 : Arbiter
935
936PORT MAP (Request => Request(100), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
937South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
938
939Arbiter_12_5 : Arbiter
940
941PORT MAP (Request => Request(89), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
942South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
943
944Arbiter_12_6 : Arbiter
945
946PORT MAP (Request => Request(78), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
947South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
948
949Arbiter_12_7 : Arbiter
950
951PORT MAP (Request => Request(67), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
952South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
953
954Arbiter_12_8 : Arbiter
955
956PORT MAP (Request => Request(56), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
957South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
958
959Arbiter_12_9 : Arbiter
960
961PORT MAP (Request => Request(45), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
962South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
963
964Arbiter_12_10 : Arbiter
965
966PORT MAP (Request => Request(34), North => south_2_north(11)(10), West => east_2_west(11)(9), P => Signal_priority(12), Fifo_full => Fifo_full(10), 
967South => south_2_north(12)(10), East => east_2_west(12)(10) , Grant => Signal_grant(12)(10));
968
969Arbiter_12_11 : Arbiter
970
971PORT MAP (Request => Request(23), North => south_2_north(11)(11), West => east_2_west(11)(10), P => Signal_priority(12), Fifo_full => Fifo_full(11), 
972South => south_2_north(12)(11), East => east_2_west(12)(11) , Grant => Signal_grant(12)(11));
973
974Arbiter_12_12 : Arbiter
975
976PORT MAP (Request => Request(12), North => south_2_north(11)(12), West => east_2_west(11)(11), P => Signal_priority(12), Fifo_full => Fifo_full(12), 
977South => south_2_north(12)(12), East => east_2_west(12)(12) , Grant => Signal_grant(12)(12));
978
979-------------------------- Diagonale n° 13
980
981
982Arbiter_13_1 : Arbiter
983
984PORT MAP (Request => Request(1), North => south_2_north(12)(1), West => east_2_west(12)(12), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
985South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
986
987Arbiter_13_2 : Arbiter
988
989PORT MAP (Request => Request(134), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
990South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
991
992Arbiter_13_3 : Arbiter
993
994PORT MAP (Request => Request(123), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
995South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
996
997Arbiter_13_4 : Arbiter
998
999PORT MAP (Request => Request(112), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
1000South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
1001
1002Arbiter_13_5 : Arbiter
1003
1004PORT MAP (Request => Request(101), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
1005South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
1006
1007Arbiter_13_6 : Arbiter
1008
1009PORT MAP (Request => Request(90), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
1010South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
1011
1012Arbiter_13_7 : Arbiter
1013
1014PORT MAP (Request => Request(79), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
1015South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
1016
1017Arbiter_13_8 : Arbiter
1018
1019PORT MAP (Request => Request(68), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
1020South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
1021
1022Arbiter_13_9 : Arbiter
1023
1024PORT MAP (Request => Request(57), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
1025South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
1026
1027Arbiter_13_10 : Arbiter
1028
1029PORT MAP (Request => Request(46), North => south_2_north(12)(10), West => east_2_west(12)(9), P => Signal_priority(11), Fifo_full => Fifo_full(10), 
1030South => south_2_north(13)(10), East => east_2_west(13)(10) , Grant => Signal_grant(13)(10));
1031
1032Arbiter_13_11 : Arbiter
1033
1034PORT MAP (Request => Request(35), North => south_2_north(12)(11), West => east_2_west(12)(10), P => Signal_priority(11), Fifo_full => Fifo_full(11), 
1035South => south_2_north(13)(11), East => east_2_west(13)(11) , Grant => Signal_grant(13)(11));
1036
1037Arbiter_13_12 : Arbiter
1038
1039PORT MAP (Request => Request(24), North => south_2_north(12)(12), West => east_2_west(12)(11), P => Signal_priority(11), Fifo_full => Fifo_full(12), 
1040South => south_2_north(13)(12), East => east_2_west(13)(12) , Grant => Signal_grant(13)(12));
1041
1042-------------------------- Diagonale n° 14
1043
1044
1045Arbiter_14_1 : Arbiter
1046
1047PORT MAP (Request => Request(13), North => south_2_north(13)(1), West => east_2_west(13)(12), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
1048South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
1049
1050Arbiter_14_2 : Arbiter
1051
1052PORT MAP (Request => Request(2), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
1053South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
1054
1055Arbiter_14_3 : Arbiter
1056
1057PORT MAP (Request => Request(135), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
1058South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
1059
1060Arbiter_14_4 : Arbiter
1061
1062PORT MAP (Request => Request(124), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
1063South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
1064
1065Arbiter_14_5 : Arbiter
1066
1067PORT MAP (Request => Request(113), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
1068South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
1069
1070Arbiter_14_6 : Arbiter
1071
1072PORT MAP (Request => Request(102), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
1073South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
1074
1075Arbiter_14_7 : Arbiter
1076
1077PORT MAP (Request => Request(91), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
1078South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
1079
1080Arbiter_14_8 : Arbiter
1081
1082PORT MAP (Request => Request(80), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
1083South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
1084
1085Arbiter_14_9 : Arbiter
1086
1087PORT MAP (Request => Request(69), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
1088South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
1089
1090Arbiter_14_10 : Arbiter
1091
1092PORT MAP (Request => Request(58), North => south_2_north(13)(10), West => east_2_west(13)(9), P => Signal_priority(10), Fifo_full => Fifo_full(10), 
1093South => south_2_north(14)(10), East => east_2_west(14)(10) , Grant => Signal_grant(14)(10));
1094
1095Arbiter_14_11 : Arbiter
1096
1097PORT MAP (Request => Request(47), North => south_2_north(13)(11), West => east_2_west(13)(10), P => Signal_priority(10), Fifo_full => Fifo_full(11), 
1098South => south_2_north(14)(11), East => east_2_west(14)(11) , Grant => Signal_grant(14)(11));
1099
1100Arbiter_14_12 : Arbiter
1101
1102PORT MAP (Request => Request(36), North => south_2_north(13)(12), West => east_2_west(13)(11), P => Signal_priority(10), Fifo_full => Fifo_full(12), 
1103South => south_2_north(14)(12), East => east_2_west(14)(12) , Grant => Signal_grant(14)(12));
1104
1105-------------------------- Diagonale n° 15
1106
1107
1108Arbiter_15_1 : Arbiter
1109
1110PORT MAP (Request => Request(25), North => south_2_north(14)(1), West => east_2_west(14)(12), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
1111South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
1112
1113Arbiter_15_2 : Arbiter
1114
1115PORT MAP (Request => Request(14), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
1116South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
1117
1118Arbiter_15_3 : Arbiter
1119
1120PORT MAP (Request => Request(3), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
1121South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
1122
1123Arbiter_15_4 : Arbiter
1124
1125PORT MAP (Request => Request(136), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
1126South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
1127
1128Arbiter_15_5 : Arbiter
1129
1130PORT MAP (Request => Request(125), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
1131South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
1132
1133Arbiter_15_6 : Arbiter
1134
1135PORT MAP (Request => Request(114), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
1136South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
1137
1138Arbiter_15_7 : Arbiter
1139
1140PORT MAP (Request => Request(103), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
1141South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
1142
1143Arbiter_15_8 : Arbiter
1144
1145PORT MAP (Request => Request(92), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
1146South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
1147
1148Arbiter_15_9 : Arbiter
1149
1150PORT MAP (Request => Request(81), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
1151South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
1152
1153Arbiter_15_10 : Arbiter
1154
1155PORT MAP (Request => Request(70), North => south_2_north(14)(10), West => east_2_west(14)(9), P => Signal_priority(9), Fifo_full => Fifo_full(10), 
1156South => south_2_north(15)(10), East => east_2_west(15)(10) , Grant => Signal_grant(15)(10));
1157
1158Arbiter_15_11 : Arbiter
1159
1160PORT MAP (Request => Request(59), North => south_2_north(14)(11), West => east_2_west(14)(10), P => Signal_priority(9), Fifo_full => Fifo_full(11), 
1161South => south_2_north(15)(11), East => east_2_west(15)(11) , Grant => Signal_grant(15)(11));
1162
1163Arbiter_15_12 : Arbiter
1164
1165PORT MAP (Request => Request(48), North => south_2_north(14)(12), West => east_2_west(14)(11), P => Signal_priority(9), Fifo_full => Fifo_full(12), 
1166South => south_2_north(15)(12), East => east_2_west(15)(12) , Grant => Signal_grant(15)(12));
1167
1168-------------------------- Diagonale n° 16
1169
1170
1171Arbiter_16_1 : Arbiter
1172
1173PORT MAP (Request => Request(37), North => south_2_north(15)(1), West => east_2_west(15)(12), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
1174South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
1175
1176Arbiter_16_2 : Arbiter
1177
1178PORT MAP (Request => Request(26), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
1179South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
1180
1181Arbiter_16_3 : Arbiter
1182
1183PORT MAP (Request => Request(15), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
1184South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
1185
1186Arbiter_16_4 : Arbiter
1187
1188PORT MAP (Request => Request(4), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
1189South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
1190
1191Arbiter_16_5 : Arbiter
1192
1193PORT MAP (Request => Request(137), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
1194South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
1195
1196Arbiter_16_6 : Arbiter
1197
1198PORT MAP (Request => Request(126), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
1199South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
1200
1201Arbiter_16_7 : Arbiter
1202
1203PORT MAP (Request => Request(115), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
1204South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
1205
1206Arbiter_16_8 : Arbiter
1207
1208PORT MAP (Request => Request(104), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
1209South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
1210
1211Arbiter_16_9 : Arbiter
1212
1213PORT MAP (Request => Request(93), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
1214South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
1215
1216Arbiter_16_10 : Arbiter
1217
1218PORT MAP (Request => Request(82), North => south_2_north(15)(10), West => east_2_west(15)(9), P => Signal_priority(8), Fifo_full => Fifo_full(10), 
1219South => south_2_north(16)(10), East => east_2_west(16)(10) , Grant => Signal_grant(16)(10));
1220
1221Arbiter_16_11 : Arbiter
1222
1223PORT MAP (Request => Request(71), North => south_2_north(15)(11), West => east_2_west(15)(10), P => Signal_priority(8), Fifo_full => Fifo_full(11), 
1224South => south_2_north(16)(11), East => east_2_west(16)(11) , Grant => Signal_grant(16)(11));
1225
1226Arbiter_16_12 : Arbiter
1227
1228PORT MAP (Request => Request(60), North => south_2_north(15)(12), West => east_2_west(15)(11), P => Signal_priority(8), Fifo_full => Fifo_full(12), 
1229South => south_2_north(16)(12), East => east_2_west(16)(12) , Grant => Signal_grant(16)(12));
1230
1231-------------------------- Diagonale n° 17
1232
1233
1234Arbiter_17_1 : Arbiter
1235
1236PORT MAP (Request => Request(49), North => south_2_north(16)(1), West => east_2_west(16)(12), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
1237South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
1238
1239Arbiter_17_2 : Arbiter
1240
1241PORT MAP (Request => Request(38), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
1242South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
1243
1244Arbiter_17_3 : Arbiter
1245
1246PORT MAP (Request => Request(27), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
1247South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
1248
1249Arbiter_17_4 : Arbiter
1250
1251PORT MAP (Request => Request(16), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
1252South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
1253
1254Arbiter_17_5 : Arbiter
1255
1256PORT MAP (Request => Request(5), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
1257South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
1258
1259Arbiter_17_6 : Arbiter
1260
1261PORT MAP (Request => Request(138), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
1262South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
1263
1264Arbiter_17_7 : Arbiter
1265
1266PORT MAP (Request => Request(127), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
1267South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
1268
1269Arbiter_17_8 : Arbiter
1270
1271PORT MAP (Request => Request(116), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
1272South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
1273
1274Arbiter_17_9 : Arbiter
1275
1276PORT MAP (Request => Request(105), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
1277South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
1278
1279Arbiter_17_10 : Arbiter
1280
1281PORT MAP (Request => Request(94), North => south_2_north(16)(10), West => east_2_west(16)(9), P => Signal_priority(7), Fifo_full => Fifo_full(10), 
1282South => south_2_north(17)(10), East => east_2_west(17)(10) , Grant => Signal_grant(17)(10));
1283
1284Arbiter_17_11 : Arbiter
1285
1286PORT MAP (Request => Request(83), North => south_2_north(16)(11), West => east_2_west(16)(10), P => Signal_priority(7), Fifo_full => Fifo_full(11), 
1287South => south_2_north(17)(11), East => east_2_west(17)(11) , Grant => Signal_grant(17)(11));
1288
1289Arbiter_17_12 : Arbiter
1290
1291PORT MAP (Request => Request(72), North => south_2_north(16)(12), West => east_2_west(16)(11), P => Signal_priority(7), Fifo_full => Fifo_full(12), 
1292South => south_2_north(17)(12), East => east_2_west(17)(12) , Grant => Signal_grant(17)(12));
1293
1294-------------------------- Diagonale n° 18
1295
1296
1297Arbiter_18_1 : Arbiter
1298
1299PORT MAP (Request => Request(61), North => south_2_north(17)(1), West => east_2_west(17)(12), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
1300South => south_2_north(18)(1), East => east_2_west(18)(1) , Grant => Signal_grant(18)(1));
1301
1302Arbiter_18_2 : Arbiter
1303
1304PORT MAP (Request => Request(50), North => south_2_north(17)(2), West => east_2_west(17)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
1305South => south_2_north(18)(2), East => east_2_west(18)(2) , Grant => Signal_grant(18)(2));
1306
1307Arbiter_18_3 : Arbiter
1308
1309PORT MAP (Request => Request(39), North => south_2_north(17)(3), West => east_2_west(17)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
1310South => south_2_north(18)(3), East => east_2_west(18)(3) , Grant => Signal_grant(18)(3));
1311
1312Arbiter_18_4 : Arbiter
1313
1314PORT MAP (Request => Request(28), North => south_2_north(17)(4), West => east_2_west(17)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
1315South => south_2_north(18)(4), East => east_2_west(18)(4) , Grant => Signal_grant(18)(4));
1316
1317Arbiter_18_5 : Arbiter
1318
1319PORT MAP (Request => Request(17), North => south_2_north(17)(5), West => east_2_west(17)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
1320South => south_2_north(18)(5), East => east_2_west(18)(5) , Grant => Signal_grant(18)(5));
1321
1322Arbiter_18_6 : Arbiter
1323
1324PORT MAP (Request => Request(6), North => south_2_north(17)(6), West => east_2_west(17)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
1325South => south_2_north(18)(6), East => east_2_west(18)(6) , Grant => Signal_grant(18)(6));
1326
1327Arbiter_18_7 : Arbiter
1328
1329PORT MAP (Request => Request(139), North => south_2_north(17)(7), West => east_2_west(17)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
1330South => south_2_north(18)(7), East => east_2_west(18)(7) , Grant => Signal_grant(18)(7));
1331
1332Arbiter_18_8 : Arbiter
1333
1334PORT MAP (Request => Request(128), North => south_2_north(17)(8), West => east_2_west(17)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
1335South => south_2_north(18)(8), East => east_2_west(18)(8) , Grant => Signal_grant(18)(8));
1336
1337Arbiter_18_9 : Arbiter
1338
1339PORT MAP (Request => Request(117), North => south_2_north(17)(9), West => east_2_west(17)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
1340South => south_2_north(18)(9), East => east_2_west(18)(9) , Grant => Signal_grant(18)(9));
1341
1342Arbiter_18_10 : Arbiter
1343
1344PORT MAP (Request => Request(106), North => south_2_north(17)(10), West => east_2_west(17)(9), P => Signal_priority(6), Fifo_full => Fifo_full(10), 
1345South => south_2_north(18)(10), East => east_2_west(18)(10) , Grant => Signal_grant(18)(10));
1346
1347Arbiter_18_11 : Arbiter
1348
1349PORT MAP (Request => Request(95), North => south_2_north(17)(11), West => east_2_west(17)(10), P => Signal_priority(6), Fifo_full => Fifo_full(11), 
1350South => south_2_north(18)(11), East => east_2_west(18)(11) , Grant => Signal_grant(18)(11));
1351
1352Arbiter_18_12 : Arbiter
1353
1354PORT MAP (Request => Request(84), North => south_2_north(17)(12), West => east_2_west(17)(11), P => Signal_priority(6), Fifo_full => Fifo_full(12), 
1355South => south_2_north(18)(12), East => east_2_west(18)(12) , Grant => Signal_grant(18)(12));
1356
1357-------------------------- Diagonale n° 19
1358
1359
1360Arbiter_19_1 : Arbiter
1361
1362PORT MAP (Request => Request(73), North => south_2_north(18)(1), West => east_2_west(18)(12), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
1363South => south_2_north(19)(1), East => east_2_west(19)(1) , Grant => Signal_grant(19)(1));
1364
1365Arbiter_19_2 : Arbiter
1366
1367PORT MAP (Request => Request(62), North => south_2_north(18)(2), West => east_2_west(18)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
1368South => south_2_north(19)(2), East => east_2_west(19)(2) , Grant => Signal_grant(19)(2));
1369
1370Arbiter_19_3 : Arbiter
1371
1372PORT MAP (Request => Request(51), North => south_2_north(18)(3), West => east_2_west(18)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
1373South => south_2_north(19)(3), East => east_2_west(19)(3) , Grant => Signal_grant(19)(3));
1374
1375Arbiter_19_4 : Arbiter
1376
1377PORT MAP (Request => Request(40), North => south_2_north(18)(4), West => east_2_west(18)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
1378South => south_2_north(19)(4), East => east_2_west(19)(4) , Grant => Signal_grant(19)(4));
1379
1380Arbiter_19_5 : Arbiter
1381
1382PORT MAP (Request => Request(29), North => south_2_north(18)(5), West => east_2_west(18)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
1383South => south_2_north(19)(5), East => east_2_west(19)(5) , Grant => Signal_grant(19)(5));
1384
1385Arbiter_19_6 : Arbiter
1386
1387PORT MAP (Request => Request(18), North => south_2_north(18)(6), West => east_2_west(18)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
1388South => south_2_north(19)(6), East => east_2_west(19)(6) , Grant => Signal_grant(19)(6));
1389
1390Arbiter_19_7 : Arbiter
1391
1392PORT MAP (Request => Request(7), North => south_2_north(18)(7), West => east_2_west(18)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
1393South => south_2_north(19)(7), East => east_2_west(19)(7) , Grant => Signal_grant(19)(7));
1394
1395Arbiter_19_8 : Arbiter
1396
1397PORT MAP (Request => Request(140), North => south_2_north(18)(8), West => east_2_west(18)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
1398South => south_2_north(19)(8), East => east_2_west(19)(8) , Grant => Signal_grant(19)(8));
1399
1400Arbiter_19_9 : Arbiter
1401
1402PORT MAP (Request => Request(129), North => south_2_north(18)(9), West => east_2_west(18)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
1403South => south_2_north(19)(9), East => east_2_west(19)(9) , Grant => Signal_grant(19)(9));
1404
1405Arbiter_19_10 : Arbiter
1406
1407PORT MAP (Request => Request(118), North => south_2_north(18)(10), West => east_2_west(18)(9), P => Signal_priority(5), Fifo_full => Fifo_full(10), 
1408South => south_2_north(19)(10), East => east_2_west(19)(10) , Grant => Signal_grant(19)(10));
1409
1410Arbiter_19_11 : Arbiter
1411
1412PORT MAP (Request => Request(107), North => south_2_north(18)(11), West => east_2_west(18)(10), P => Signal_priority(5), Fifo_full => Fifo_full(11), 
1413South => south_2_north(19)(11), East => east_2_west(19)(11) , Grant => Signal_grant(19)(11));
1414
1415Arbiter_19_12 : Arbiter
1416
1417PORT MAP (Request => Request(96), North => south_2_north(18)(12), West => east_2_west(18)(11), P => Signal_priority(5), Fifo_full => Fifo_full(12), 
1418South => south_2_north(19)(12), East => east_2_west(19)(12) , Grant => Signal_grant(19)(12));
1419
1420-------------------------- Diagonale n° 20
1421
1422
1423Arbiter_20_1 : Arbiter
1424
1425PORT MAP (Request => Request(85), North => south_2_north(19)(1), West => east_2_west(19)(12), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
1426South => south_2_north(20)(1), East => east_2_west(20)(1) , Grant => Signal_grant(20)(1));
1427
1428Arbiter_20_2 : Arbiter
1429
1430PORT MAP (Request => Request(74), North => south_2_north(19)(2), West => east_2_west(19)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
1431South => south_2_north(20)(2), East => east_2_west(20)(2) , Grant => Signal_grant(20)(2));
1432
1433Arbiter_20_3 : Arbiter
1434
1435PORT MAP (Request => Request(63), North => south_2_north(19)(3), West => east_2_west(19)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
1436South => south_2_north(20)(3), East => east_2_west(20)(3) , Grant => Signal_grant(20)(3));
1437
1438Arbiter_20_4 : Arbiter
1439
1440PORT MAP (Request => Request(52), North => south_2_north(19)(4), West => east_2_west(19)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
1441South => south_2_north(20)(4), East => east_2_west(20)(4) , Grant => Signal_grant(20)(4));
1442
1443Arbiter_20_5 : Arbiter
1444
1445PORT MAP (Request => Request(41), North => south_2_north(19)(5), West => east_2_west(19)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
1446South => south_2_north(20)(5), East => east_2_west(20)(5) , Grant => Signal_grant(20)(5));
1447
1448Arbiter_20_6 : Arbiter
1449
1450PORT MAP (Request => Request(30), North => south_2_north(19)(6), West => east_2_west(19)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
1451South => south_2_north(20)(6), East => east_2_west(20)(6) , Grant => Signal_grant(20)(6));
1452
1453Arbiter_20_7 : Arbiter
1454
1455PORT MAP (Request => Request(19), North => south_2_north(19)(7), West => east_2_west(19)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
1456South => south_2_north(20)(7), East => east_2_west(20)(7) , Grant => Signal_grant(20)(7));
1457
1458Arbiter_20_8 : Arbiter
1459
1460PORT MAP (Request => Request(8), North => south_2_north(19)(8), West => east_2_west(19)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
1461South => south_2_north(20)(8), East => east_2_west(20)(8) , Grant => Signal_grant(20)(8));
1462
1463Arbiter_20_9 : Arbiter
1464
1465PORT MAP (Request => Request(141), North => south_2_north(19)(9), West => east_2_west(19)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
1466South => south_2_north(20)(9), East => east_2_west(20)(9) , Grant => Signal_grant(20)(9));
1467
1468Arbiter_20_10 : Arbiter
1469
1470PORT MAP (Request => Request(130), North => south_2_north(19)(10), West => east_2_west(19)(9), P => Signal_priority(4), Fifo_full => Fifo_full(10), 
1471South => south_2_north(20)(10), East => east_2_west(20)(10) , Grant => Signal_grant(20)(10));
1472
1473Arbiter_20_11 : Arbiter
1474
1475PORT MAP (Request => Request(119), North => south_2_north(19)(11), West => east_2_west(19)(10), P => Signal_priority(4), Fifo_full => Fifo_full(11), 
1476South => south_2_north(20)(11), East => east_2_west(20)(11) , Grant => Signal_grant(20)(11));
1477
1478Arbiter_20_12 : Arbiter
1479
1480PORT MAP (Request => Request(108), North => south_2_north(19)(12), West => east_2_west(19)(11), P => Signal_priority(4), Fifo_full => Fifo_full(12), 
1481South => south_2_north(20)(12), East => east_2_west(20)(12) , Grant => Signal_grant(20)(12));
1482
1483-------------------------- Diagonale n° 21
1484
1485
1486Arbiter_21_1 : Arbiter
1487
1488PORT MAP (Request => Request(97), North => south_2_north(20)(1), West => east_2_west(20)(12), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
1489South => south_2_north(21)(1), East => east_2_west(21)(1) , Grant => Signal_grant(21)(1));
1490
1491Arbiter_21_2 : Arbiter
1492
1493PORT MAP (Request => Request(86), North => south_2_north(20)(2), West => east_2_west(20)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
1494South => south_2_north(21)(2), East => east_2_west(21)(2) , Grant => Signal_grant(21)(2));
1495
1496Arbiter_21_3 : Arbiter
1497
1498PORT MAP (Request => Request(75), North => south_2_north(20)(3), West => east_2_west(20)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
1499South => south_2_north(21)(3), East => east_2_west(21)(3) , Grant => Signal_grant(21)(3));
1500
1501Arbiter_21_4 : Arbiter
1502
1503PORT MAP (Request => Request(64), North => south_2_north(20)(4), West => east_2_west(20)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
1504South => south_2_north(21)(4), East => east_2_west(21)(4) , Grant => Signal_grant(21)(4));
1505
1506Arbiter_21_5 : Arbiter
1507
1508PORT MAP (Request => Request(53), North => south_2_north(20)(5), West => east_2_west(20)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
1509South => south_2_north(21)(5), East => east_2_west(21)(5) , Grant => Signal_grant(21)(5));
1510
1511Arbiter_21_6 : Arbiter
1512
1513PORT MAP (Request => Request(42), North => south_2_north(20)(6), West => east_2_west(20)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
1514South => south_2_north(21)(6), East => east_2_west(21)(6) , Grant => Signal_grant(21)(6));
1515
1516Arbiter_21_7 : Arbiter
1517
1518PORT MAP (Request => Request(31), North => south_2_north(20)(7), West => east_2_west(20)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
1519South => south_2_north(21)(7), East => east_2_west(21)(7) , Grant => Signal_grant(21)(7));
1520
1521Arbiter_21_8 : Arbiter
1522
1523PORT MAP (Request => Request(20), North => south_2_north(20)(8), West => east_2_west(20)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
1524South => south_2_north(21)(8), East => east_2_west(21)(8) , Grant => Signal_grant(21)(8));
1525
1526Arbiter_21_9 : Arbiter
1527
1528PORT MAP (Request => Request(9), North => south_2_north(20)(9), West => east_2_west(20)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
1529South => south_2_north(21)(9), East => east_2_west(21)(9) , Grant => Signal_grant(21)(9));
1530
1531Arbiter_21_10 : Arbiter
1532
1533PORT MAP (Request => Request(142), North => south_2_north(20)(10), West => east_2_west(20)(9), P => Signal_priority(3), Fifo_full => Fifo_full(10), 
1534South => south_2_north(21)(10), East => east_2_west(21)(10) , Grant => Signal_grant(21)(10));
1535
1536Arbiter_21_11 : Arbiter
1537
1538PORT MAP (Request => Request(131), North => south_2_north(20)(11), West => east_2_west(20)(10), P => Signal_priority(3), Fifo_full => Fifo_full(11), 
1539South => south_2_north(21)(11), East => east_2_west(21)(11) , Grant => Signal_grant(21)(11));
1540
1541Arbiter_21_12 : Arbiter
1542
1543PORT MAP (Request => Request(120), North => south_2_north(20)(12), West => east_2_west(20)(11), P => Signal_priority(3), Fifo_full => Fifo_full(12), 
1544South => south_2_north(21)(12), East => east_2_west(21)(12) , Grant => Signal_grant(21)(12));
1545
1546-------------------------- Diagonale n° 22
1547
1548
1549Arbiter_22_1 : Arbiter
1550
1551PORT MAP (Request => Request(109), North => south_2_north(21)(1), West => east_2_west(21)(12), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
1552South => south_2_north(22)(1), East => east_2_west(22)(1) , Grant => Signal_grant(22)(1));
1553
1554Arbiter_22_2 : Arbiter
1555
1556PORT MAP (Request => Request(98), North => south_2_north(21)(2), West => east_2_west(21)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
1557South => south_2_north(22)(2), East => east_2_west(22)(2) , Grant => Signal_grant(22)(2));
1558
1559Arbiter_22_3 : Arbiter
1560
1561PORT MAP (Request => Request(87), North => south_2_north(21)(3), West => east_2_west(21)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
1562South => south_2_north(22)(3), East => east_2_west(22)(3) , Grant => Signal_grant(22)(3));
1563
1564Arbiter_22_4 : Arbiter
1565
1566PORT MAP (Request => Request(76), North => south_2_north(21)(4), West => east_2_west(21)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
1567South => south_2_north(22)(4), East => east_2_west(22)(4) , Grant => Signal_grant(22)(4));
1568
1569Arbiter_22_5 : Arbiter
1570
1571PORT MAP (Request => Request(65), North => south_2_north(21)(5), West => east_2_west(21)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
1572South => south_2_north(22)(5), East => east_2_west(22)(5) , Grant => Signal_grant(22)(5));
1573
1574Arbiter_22_6 : Arbiter
1575
1576PORT MAP (Request => Request(54), North => south_2_north(21)(6), West => east_2_west(21)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
1577South => south_2_north(22)(6), East => east_2_west(22)(6) , Grant => Signal_grant(22)(6));
1578
1579Arbiter_22_7 : Arbiter
1580
1581PORT MAP (Request => Request(43), North => south_2_north(21)(7), West => east_2_west(21)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
1582South => south_2_north(22)(7), East => east_2_west(22)(7) , Grant => Signal_grant(22)(7));
1583
1584Arbiter_22_8 : Arbiter
1585
1586PORT MAP (Request => Request(32), North => south_2_north(21)(8), West => east_2_west(21)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
1587South => south_2_north(22)(8), East => east_2_west(22)(8) , Grant => Signal_grant(22)(8));
1588
1589Arbiter_22_9 : Arbiter
1590
1591PORT MAP (Request => Request(21), North => south_2_north(21)(9), West => east_2_west(21)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
1592South => south_2_north(22)(9), East => east_2_west(22)(9) , Grant => Signal_grant(22)(9));
1593
1594Arbiter_22_10 : Arbiter
1595
1596PORT MAP (Request => Request(10), North => south_2_north(21)(10), West => east_2_west(21)(9), P => Signal_priority(2), Fifo_full => Fifo_full(10), 
1597South => south_2_north(22)(10), East => east_2_west(22)(10) , Grant => Signal_grant(22)(10));
1598
1599Arbiter_22_11 : Arbiter
1600
1601PORT MAP (Request => Request(143), North => south_2_north(21)(11), West => east_2_west(21)(10), P => Signal_priority(2), Fifo_full => Fifo_full(11), 
1602South => south_2_north(22)(11), East => east_2_west(22)(11) , Grant => Signal_grant(22)(11));
1603
1604Arbiter_22_12 : Arbiter
1605
1606PORT MAP (Request => Request(132), North => south_2_north(21)(12), West => east_2_west(21)(11), P => Signal_priority(2), Fifo_full => Fifo_full(12), 
1607South => south_2_north(22)(12), East => east_2_west(22)(12) , Grant => Signal_grant(22)(12));
1608
1609-------------------------- Diagonale n° 23
1610
1611
1612Arbiter_23_1 : Arbiter
1613
1614PORT MAP (Request => Request(121), North => south_2_north(22)(1), West => east_2_west(22)(12), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
1615South => south_2_north(23)(1), East => east_2_west(23)(1) , Grant => Signal_grant(23)(1));
1616
1617Arbiter_23_2 : Arbiter
1618
1619PORT MAP (Request => Request(110), North => south_2_north(22)(2), West => east_2_west(22)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
1620South => south_2_north(23)(2), East => east_2_west(23)(2) , Grant => Signal_grant(23)(2));
1621
1622Arbiter_23_3 : Arbiter
1623
1624PORT MAP (Request => Request(99), North => south_2_north(22)(3), West => east_2_west(22)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
1625South => south_2_north(23)(3), East => east_2_west(23)(3) , Grant => Signal_grant(23)(3));
1626
1627Arbiter_23_4 : Arbiter
1628
1629PORT MAP (Request => Request(88), North => south_2_north(22)(4), West => east_2_west(22)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
1630South => south_2_north(23)(4), East => east_2_west(23)(4) , Grant => Signal_grant(23)(4));
1631
1632Arbiter_23_5 : Arbiter
1633
1634PORT MAP (Request => Request(77), North => south_2_north(22)(5), West => east_2_west(22)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
1635South => south_2_north(23)(5), East => east_2_west(23)(5) , Grant => Signal_grant(23)(5));
1636
1637Arbiter_23_6 : Arbiter
1638
1639PORT MAP (Request => Request(66), North => south_2_north(22)(6), West => east_2_west(22)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
1640South => south_2_north(23)(6), East => east_2_west(23)(6) , Grant => Signal_grant(23)(6));
1641
1642Arbiter_23_7 : Arbiter
1643
1644PORT MAP (Request => Request(55), North => south_2_north(22)(7), West => east_2_west(22)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
1645South => south_2_north(23)(7), East => east_2_west(23)(7) , Grant => Signal_grant(23)(7));
1646
1647Arbiter_23_8 : Arbiter
1648
1649PORT MAP (Request => Request(44), North => south_2_north(22)(8), West => east_2_west(22)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
1650South => south_2_north(23)(8), East => east_2_west(23)(8) , Grant => Signal_grant(23)(8));
1651
1652Arbiter_23_9 : Arbiter
1653
1654PORT MAP (Request => Request(33), North => south_2_north(22)(9), West => east_2_west(22)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
1655South => south_2_north(23)(9), East => east_2_west(23)(9) , Grant => Signal_grant(23)(9));
1656
1657Arbiter_23_10 : Arbiter
1658
1659PORT MAP (Request => Request(22), North => south_2_north(22)(10), West => east_2_west(22)(9), P => Signal_priority(1), Fifo_full => Fifo_full(10), 
1660South => south_2_north(23)(10), East => east_2_west(23)(10) , Grant => Signal_grant(23)(10));
1661
1662Arbiter_23_11 : Arbiter
1663
1664PORT MAP (Request => Request(11), North => south_2_north(22)(11), West => east_2_west(22)(10), P => Signal_priority(1), Fifo_full => Fifo_full(11), 
1665South => south_2_north(23)(11), East => east_2_west(23)(11) , Grant => Signal_grant(23)(11));
1666
1667Arbiter_23_12 : Arbiter
1668
1669PORT MAP (Request => Request(144), North => south_2_north(22)(12), West => east_2_west(22)(11), P => Signal_priority(1), Fifo_full => Fifo_full(12), 
1670South => south_2_north(23)(12), East => east_2_west(23)(12) , Grant => Signal_grant(23)(12));
1671
1672
1673--processus permettant de roter la priorité des diagonales à chaque front d'horloge
1674 -- rotation round robin
1675         round_robin : process(clk)
1676        begin
1677                if rising_edge(clk) then
1678                 if reset ='1' then
1679                    Signal_priority <= "11111111111100000000000";
1680                  elsif priority_rotation_en = '1' then
1681                    case Signal_priority is
1682                       when "11111111111100000000000" => Signal_priority <= "01111111111110000000000";
1683                       when "01111111111110000000000" => Signal_priority <= "00111111111111000000000";
1684                       when "00111111111111000000000" => Signal_priority <= "00011111111111100000000";
1685                       when "00011111111111100000000" => Signal_priority <= "00001111111111110000000";
1686                       when "00001111111111110000000" => Signal_priority <= "00000111111111111000000";
1687                       when "00000111111111111000000" => Signal_priority <= "00000011111111111100000";
1688                       when "00000011111111111100000" => Signal_priority <= "00000001111111111110000";
1689                       when "00000001111111111110000" => Signal_priority <= "00000000111111111111000";
1690                       when "00000000111111111111000" => Signal_priority <= "00000000011111111111100";
1691                       when "00000000011111111111100" => Signal_priority <= "00000000001111111111110";
1692                       when "00000000001111111111110" => Signal_priority <= "00000000000111111111111";
1693                       when "00000000000111111111111" => Signal_priority <= "11111111111100000000000";
1694                       when others    => Signal_priority <= "11111111111100000000000";
1695                  end case;
1696                 end if;
1697             end if;
1698         end process;
1699
1700end Behavioral;
1701
Note: See TracBrowser for help on using the repository browser.