source: PROJECT_SMART_EEG/trunk/hw/sync_sys/audio_codec/audio_codec.v

Last change on this file was 89, checked in by szahmed, 11 years ago

Added Headline comments for Verilog files explaining their brief properties, version number etc.

File size: 2.0 KB
Line 
1/********************************************************************
2 *                    COPYRIGHT LIP6 2014
3 *-----------------------------------------------------------------*/
4/**
5 * @file    audio_codec.v
6 * @brief   Performs Audio Compression
7 *
8 * This module perfoms audio compression of raw data received from AvalonST sink and sends
9 * the compressed audio via AvalonST source to stream merger module
10 *
11 * @author  S. Z. Ahmed         <syed-zahid.ahmed@lip6.fr>
12 * @author  L. Lambert          <laurent.lambert@lip6.fr>
13 * @date    Fri. 28 Feb. 2014
14 *
15 * Revision History
16 * @version  V1.0  Fri. 28 Feb. 2014 {Initial Arch.: Template file created with qsys gui}
17 *
18 *******************************************************************/
19
20`timescale 1 ps / 1 ps
21module audio_codec #(
22                parameter AUTO_CLOCK_CLOCK_RATE = "-1"
23        ) (
24                input  wire        clk,                //      clock.clk
25                input  wire        reset,              //      reset.reset
26                input  wire [31:0] asi_raw_audio_data,       //        raw_audio.data
27                output wire        asi_raw_audio_ready,      //           .ready
28                input  wire        asi_raw_audio_valid,      //           .valid
29                input  wire [7:0]  avs_ctrl_address,     //       ctrl.address
30                input  wire        avs_ctrl_read,        //           .read
31                output wire [31:0] avs_ctrl_readdata,    //           .readdata
32                input  wire        avs_ctrl_write,       //           .write
33                input  wire [31:0] avs_ctrl_writedata,   //           .writedata
34                output wire        avs_ctrl_waitrequest, //           .waitrequest
35                output wire [31:0] aso_comp_audio_data,      // comp_audio.data
36                input  wire        aso_comp_audio_ready,     //           .ready
37                output wire        aso_comp_audio_valid      //           .valid
38        );
39
40        // TODO: Auto-generated HDL template
41
42        assign asi_raw_audio_ready = 1'b0;
43
44        assign avs_ctrl_waitrequest = 1'b0;
45
46        assign avs_ctrl_readdata = 32'b00000000000000000000000000000000;
47
48        assign aso_comp_audio_valid = 1'b0;
49
50        assign aso_comp_audio_data = 32'b00000000000000000000000000000000;
51
52endmodule
Note: See TracBrowser for help on using the repository browser.