source: PROJECT_SMART_EEG/trunk/hw/sync_sys/frame_grabber/frame_grabber.v @ 136

Last change on this file since 136 was 89, checked in by szahmed, 11 years ago

Added Headline comments for Verilog files explaining their brief properties, version number etc.

File size: 2.2 KB
Line 
1/********************************************************************
2 *                    COPYRIGHT LIP6 2014
3 *-----------------------------------------------------------------*/
4/**
5 * @file    frame_grabber.v
6 * @brief   Performs frame grabbing, Bayer->RGB conversion and sends the raw data to video codec module
7 *
8 * This module perfoms the frame grabbing of the terasic D5M camera that is connected with GPIO of DE4, it then
9 * performs Bayer->RGB conversion and sends the raw data to the video codec via AvalonST source
10 *
11 * @author  S. Z. Ahmed         <syed-zahid.ahmed@lip6.fr>
12 * @author  L. Lambert          <laurent.lambert@lip6.fr>
13 * @date    Fri. 28 Feb. 2014
14 *
15 * Revision History
16 * @version  V1.0  Fri. 28 Feb. 2014 {Initial Arch.: Template file created with qsys gui}
17 *
18 *******************************************************************/
19
20`timescale 1 ps / 1 ps
21module frame_grabber (
22                input  wire        clk,                //     clock.clk
23                input  wire        reset,              //     reset.reset
24                input  wire [7:0]  avs_ctrl_address,     //      ctrl.address
25                input  wire        avs_ctrl_read,        //          .read
26                output wire [31:0] avs_ctrl_readdata,    //          .readdata
27                input  wire        avs_ctrl_write,       //          .write
28                input  wire [31:0] avs_ctrl_writedata,   //          .writedata
29                output wire        avs_ctrl_waitrequest, //          .waitrequest
30                output wire [31:0] aso_raw_video_data,      // raw_video.data
31                input  wire        aso_raw_video_ready,     //          .ready
32                output wire        aso_raw_video_valid,      //          .valid
33               
34                input               [11:0]              D5M_D,
35                output                                  D5M_RESETn,
36                input                                   D5M_FVAL,
37                input                                   D5M_LVAL,
38                input                                   D5M_PIXLCLK,
39                output                                  D5M_SCLK,
40                inout                                   D5M_SDATA,
41                input                                   D5M_STROBE,
42                output                                  D5M_TRIGGER,
43                output                                  D5M_XCLKIN
44        );
45
46        // TODO: Auto-generated HDL template
47
48        assign avs_ctrl_waitrequest = 1'b0;
49
50        assign avs_ctrl_readdata = 32'b00000000000000000000000000000000;
51
52        assign aso_out0_valid = 1'b0;
53
54        assign aso_out0_data = 32'b00000000000000000000000000000000;
55
56endmodule
Note: See TracBrowser for help on using the repository browser.