source: PROJECT_SMART_EEG/trunk/hw/sync_sys/signal_grabber/signal_grabber.v

Last change on this file was 89, checked in by szahmed, 10 years ago

Added Headline comments for Verilog files explaining their brief properties, version number etc.

File size: 2.3 KB
Line 
1/********************************************************************
2 *                    COPYRIGHT LIP6 2014
3 *-----------------------------------------------------------------*/
4/**
5 * @file    signal_grabber.v
6 * @brief   Performs signal grabbing of EXG and Audio data coming from ETIS
7 *
8 * This module performs grabbing of time-stamped EXG and Audio data coming from ETIS (In initial versions create dummy/test data via internal logic of
9 * this module for validation of rest of the system). It sends the data received/Modeled from/of ETIS to Audio and EXG coders via AvalonST source
10 *
11 * @author  S. Z. Ahmed         <syed-zahid.ahmed@lip6.fr>
12 * @author  L. Lambert          <laurent.lambert@lip6.fr>
13 * @date    Fri. 28 Feb. 2014
14 *
15 * Revision History
16 * @version  V1.0  Fri. 28 Feb. 2014 {Initial Arch.: Template file created with qsys gui}
17 *
18 *******************************************************************/
19
20`timescale 1 ps / 1 ps
21module signal_grabber #(
22                parameter ctrl_addr_width = 32,
23                parameter ctrl_data_width = 32,
24                parameter audio_str_width = 32,
25                parameter exg_str_width   = 32,
26                parameter etis_si_width   = 32
27        ) (
28                input  wire        clk,                // clock.clk
29                input  wire        reset,              // reset.reset
30                input  wire [ctrl_addr_width-1:0] avs_ctrl_address,     //  ctrl.address
31                input  wire        avs_ctrl_read,        //      .read
32                output wire [31:0] avs_ctrl_readdata,    //      .readdata
33                input  wire        avs_ctrl_write,       //      .write
34                input  wire [31:0] avs_ctrl_writedata,   //      .writedata
35                output wire        avs_ctrl_waitrequest, //      .waitrequest
36                output wire [31:0] aso_raw_audio_data,      // audio.data
37                input  wire        aso_raw_audio_ready,     //      .ready
38                output wire        aso_raw_audio_valid,     //      .valid
39                output wire [31:0] aso_raw_exg_data,      //   exg.data
40                input  wire        aso_raw_exg_ready,     //      .ready
41                output wire        aso_raw_exg_valid
42        );
43
44        // TODO: Auto-generated HDL template
45
46        assign avs_ctrl_waitrequest = 1'b0;
47
48        assign avs_ctrl_readdata = 32'b00000000000000000000000000000000;
49
50        assign aso_raw_audio_valid = 1'b0;
51
52        assign aso_raw_audio_data = 32'b00000000000000000000000000000000;
53
54        assign aso_raw_exg_valid = 1'b0;
55
56        assign aso_raw_exg_data = 32'b00000000000000000000000000000000;
57
58        assign asi_etis_ready = 1'b0;
59
60endmodule
Note: See TracBrowser for help on using the repository browser.